From c6e6b17cd30b210a2c256ec4bcffad3b3e597863 Mon Sep 17 00:00:00 2001 From: Kasi Date: Sat, 21 Jul 2018 09:43:19 +0100 Subject: [PATCH] Release 0.74 --- Include/Core/Internal.hpp | 1 + Include/Game/Interfaces/Exchange.hpp | 27 ++++++++++++++++++--------- Library/libAlpacaLibrary.a | Bin 5304436 -> 5313690 bytes 3 files changed, 19 insertions(+), 9 deletions(-) diff --git a/Include/Core/Internal.hpp b/Include/Core/Internal.hpp index c6e2f2b..511e408 100644 --- a/Include/Core/Internal.hpp +++ b/Include/Core/Internal.hpp @@ -127,6 +127,7 @@ namespace Internal std::int32_t GetGameTick(); Deque GetGraphicsObjects(); std::vector>> GetGroundItems(); + bool GetIsMember(); HashTable GetItemContainers(); IndexableData GetItemIndexableData(); Cache GetItemInfoCache(); diff --git a/Include/Game/Interfaces/Exchange.hpp b/Include/Game/Interfaces/Exchange.hpp index 9b330ee..c0b9e9b 100644 --- a/Include/Game/Interfaces/Exchange.hpp +++ b/Include/Game/Interfaces/Exchange.hpp @@ -13,7 +13,7 @@ class Exchange typedef enum SLOT_STATE { - EMPTY = 0, + STATE_EMPTY = 0, STARTING_BUY_OFFER = 1, BUY_OFFER_INCOMPLETE = 2, BUY_OFFER_UPDATING = 3, @@ -24,31 +24,40 @@ class Exchange SELL_OFFER_UPDATING = 11, SELL_OFFER_CANCELING = 12, SELL_OFFER_COMPLETE = 13, - STATE_UNDEFINED = 14 + STATE_DISABLED = 14, + STATE_UNDEFINED = 15 } SLOT_STATE; typedef enum SLOT_MODE { BUY = 0, SELL = 1, - MODE_UNDEFINED = 2 + MODE_DISABLED = 2, + MODE_UNDEFINED = 3 } SLOT_MODE; static bool IsOpen(); + static bool Open(); static bool Close(); static SLOT_STATE GetSlotState(const std::uint32_t Slot); static bool SlotOccupied(const std::uint32_t Slot); static std::vector GetAllSlotStates(); static bool AllSlotsOccupied(); - static std::int32_t GetCurrentSlotIndex(); - static SLOT_MODE GetCurrentSlotMode(); - static std::int32_t GetCurrentSlotItemID(); - static std::string GetCurrentSlotItemName(); - static std::int32_t GetCurrentSlotAmount(); - static std::int32_t GetCurrentSlotPrice(); + static std::int32_t GetOfferIndex(); + static SLOT_MODE GetOfferMode(); + static std::int32_t GetOfferItemID(); + static std::string GetOfferItemName(); + static std::int32_t GetOfferAmount(); + static std::int32_t GetOfferPrice(); static bool OfferOpen(); static bool CloseOffer(); + static bool OffersOpen(); + static bool SlotUseble(const std::uint32_t Slot); + static bool CanCollect(); + static bool Collect(const bool Inventory = true); + static bool OpenBuyOffer(); + static bool OpenSellOffer(); }; /** @} */ diff --git a/Library/libAlpacaLibrary.a b/Library/libAlpacaLibrary.a index d4b969672c8e0fc0c1c2ec72e7760a2daf34bff0..9e1c41b770c2ef275d57a63e708d24bd94d21d71 100644 GIT binary patch delta 109857 zcmeF42b@gT8~5*wwRX1I-8*&{i`7?OeU-4v2CFTiMp(Uf(c_XJ+9HC3=s`#jEe6q} zY(yu~Ym^b9MDOqK-rwAloh1Ab<@3I8^7$Y0opYY&oagtP=bpJ!_}@JBgLU%!&DJqt zTK*#Wixr3p504Dj|4aI3czEH0MGNLPy5hYRC3SYit5B9#(;7r6UPjYQc*bMb?uysH zX_LGauctNbX#*=dD_$?t4mMT1UZ82x`0fhD>kT$rxit!!rvLHp+lStY?RneCBZ^Hn zE$Neg;Mr#t+rMqQ-cxK(YueKW^!}@lUrY1Ou~jjfX2Nql#x_;FU$nJ;tav}YY0nx| zyhrx`cWqg5#rv5}OJcBnjpF?(+PyT2_wzO_iJ?#G0m=)vqT!0qzi679bE``cm9gc^R^vx6`$vAXOY=|Y}(TXUaa3YQ+MTsTl9O1ucrU;s#>=hith_H z&2ad9yW;yQ+JyOKV`V$_cCp5FU1c{)Bkua?crv{?|(P#Z$|#<&MU8^#a2`N|7X*l$0&)77O(if zXqy_V_&=>_PaAkz|E`CM|I4(i?G^ujXxcw@{1FXL(FV(V~Py(LOv}X;vjn(X-1iWbLY*zxF z-LyXqhI%LgZ@g))Mb9i%0$#8MDutAP-Lz*-Ql^v=_-|X=rApv4n)a;0z=Vd%OSOfu zO5pP~?eB)Wk12t#umvT%qCCB6&l-FKW7=P%>zzpndeJtaffDqRP5YbU=Jra^^R!>K zD?$IhX|H0Ee=&#lN>sdj0NTG#;ma?MwY68UhyNyk|MvgY7htNp$CT%7T2jN{Wc!pi z)|xI>f}1YZ^go{6+8$GaU#9hpRD%Dh_4!x{mQ73gBnf{~QVD*MHVQ%huO{{=!GCR9 z(vBHpmEh-T3!;_a|JA;WSAzdnTX#s&g7L?{YKh&I;1_Af3Mj#UHtheOPhJa$616h8|NvZ8#VopXS9R2mC#q% zQY*6*x2FBI<5fIQZB!^ z{=eM<{BQrC=aNbn?Ww$;roFC-pL1UAwJCeP0JPVW*5_LsYiqA*U!OOC|Mvgw7T|yT z@2P~JIri3?mUQuqacz}1+_X2n;9u6$-ne4-0JJxsa<>4qH@x3&i(~Eo_W$h`;Q#yk zpXEvw<>^g(*5K$*Sppyv3%;#h2DpvcCDI{cX`~p>t+B-l*EY z2cW&VRR4W(tgXGlz5b^F{@eezTY&$c?0=5$PAUJ`w5JU`t^aJKlH(ON?Nv_p5_4&< zRLzSApt+|rSN6-w8*Q4t;L_k1YkP_)xn5Dzo@b)mnfEC#)hcgNazDFi|I6TikB^zF zUjO1)TYJXdyomt*+yA#)fdB3Po2c@quknA)^%fvk`|Ed*Czfbv}P1B9uY5Q`m!EZ{zf7!Gbo?!1~ zrQoY;+N+%GCFa84|9gdMUVJX?)ur;q7suM#TUEdJ@_+mPb_?+TpZzb|;E3|~hJXLz z@11Y3Py5HH-dq6MTUFoSi1v2V-{8Off4c?v|H1wj|HY|1wQ0{9d;??JQzLr=i(_r= z>8W`m0cg+In>P`_fBXM-3-G`Fe-l;ylr>5%*`q1=GrHFwfc93^`{Mimw;RBJ`~P+e@W1{4=WD#|&f?0OXxf`tOxFs8kseSVtA|MvgC{eR^dc=xj^%5ygDc@32c$;!TlroE0yUtmt{wWxaj0JPVW z*5?jDdkuT~+>2xF|Mvgw7U2Km`yachhw{v({V#+6FuBE}Q>s6!Y0nybjbm{UB^AACCcLFb&4}WSE?zlQiIWZg{>z_FT-@UqCGKf$0Mhm{ zZQ)iW?w^|WPn}Qe+gU}4d&N!thY3aF>RyqbJZ$cG*xXVtSVWi3nq2hE;N>r}4YT-* zg#%IreA>FN1s&{VD@o!5+mTS_nT&MQ!TQut~u3z@6B9c`v=oj7o?aF6B**_hPE=uWa-vs=BcPDi-K?&T&&t_C99bH8g@-1!i0|sv zCmTB)aA}gx({PUx@l*Ul9gS9a9SC=s=T8U>byPp-RW#$D6NWmzyz6x_*7OOV>L2R( zx|}UCzhP2Dl-V2<;<(-2wmc+h$JUXyD`v;(#=#+uJ+p1^<#1UT9nrgNqqvM~j^D1@ z78FZru{4MG07mArs21xzAbnDc-&%V&&+O{>Y`b@eqwPrV8I)Q?beWH3vn}&(X~to6 z%-il=Hm}RY=QOUr=W+vVIn$P_0*1pZoYn8yC_q?;&b0cxs54Y9RoJ_+6%fm_U^)NExFmBO&ZI^#db5WyW>tFS^undYa{XK$G_MyNiv1B)D+AlI?j%Z^Eqa(HBz0N5| zF-cKOw2u$~!((J`%U;HXTl zDc}+6sFV=6H$&2nq6-5*%w!}i%E)+#MSGC+KLFep^?JQ$>k z?un@b#AG~8RtdY?!QXdKmOy*VAB4(~E zu8QbGK|!8X%3754Swy`4lMqEMgu;l4Xg-?6oo9DflFd z#7ASW%WxD0e#odvr+e*cR0vD zMK3Q!39XEHl0(cM_O_d4Iu8KHM~KBbZw9xG5QlX3sAEyOM@%>hi~{zL5D_}B0Z)k# zaXPQ8Yf&yoh+aDHX<$+ObBY-{Ujv8c6p1h*t#gWK zofEwFemD7ToXv$KUoapo2qbY9*P>C7XXIBeMTE{# zT`kHV`9+-0CA(XcxdlWoodbh{MTspWLQjM5 z_C~4-i)fvr`XD`pMQfeI`&krg5iv&R%izvM#7dp7^+!1s6{mDwqgj-(MTOTH@P>gF z<=rR|sdGJCkYkF8df?!Ou8Y&SLh(nm5*fX!kYmi5pe;U@iHX{|s`d=xR|*+Qx8^p`OB0M9pCF6d8-Cz3^ZJ zInt`859sB-R4-#GvD1pR7Fkr+TD`c|0Iv0AGBv&U+Nx$2XOqF~zEzzsR(oKsitck& zQDUX1T0bDded-92 zF$K1|f~PA&YlNp*5~1QW(UalrrKS~uDG*>qFRa}pg<42apVhU9iSH0AVeqMjB z?ByxS+tdfSI36r zygJe^$(=%kt7?b{4?=<(s8G~72s;>QM+g)D3}K?EWmijj%|H*bGEw9YM*+=X7^uBe zYWa%I3o6R67CVM{WO3?O|JIn3%8Xv_@#s&D!ND6+4*Har@m9Q=CsaMPEoPqnvhF;v zTa;;`rW0T8Ku~&VTYDu<(Ez5%76;>PF^Rhii5{uc9D%#tC)vJBT`z~KzuGz*rYnCcDGsGpvs(LZ7f({F zQPwisMX@w$d25*i4lRuuZMCl2CN_qt9j%qNiTvr*aBKE$qEe*vz1FghTI0!}L(bGLC?ZYD%kZGF=Cj6%m=? z`i{G6=tjEs&kWbubnQ`IcfDs3oxaE&YFkSGz;}g~P2C$7{r!_C*e_=k9xruCsCwC% z*VU8ld(l3-S}5hU)fh=x@k4KY@YmJi+w5u`+ZxW2s>1j(YATUALd_gl#XXYDYebD? zwlL8xLY;5hO!M3o4fEnTU|!$d-1mE$56=Pf9W)qP!=s?o zwkveCR1+KXs?mX;y1TXhPGl?(zkK=Be%5nI{qEAQWOeatK7>5V-S30t!ty@+ibtx) zMcx8x3TyT_QR7`TSQN>xW(u6;ZXLLcxfq-ux$wpqDydWrBXv~@Aa%>#&F?P}`FmmV zsRh(|wji2+RZ~1MslqXBFRGg z2k(hlg)#N7?xxcgaq7E;F?C*=M%57~i@@}@yJ?e!G%Z{drcpG_T-VT-KMMM+$=oi7 zR0|lyz$kTrEt=-t>WK`E)UX2CzQMIPqn?L+0oT!WCUvifv(3+auG?R8t{KJDZ*8%h zYkYlUF004aqDOHxLtt8Wmjmxi>q?hR?$R*tLGyEs4D%bMVgA0md9B$rFBh#Yjp}ch&)eMuF9aTZ71$Ot^a=iR z-dg+Q$*`CK&Uzd1IJlqQRb<1HCnG)_kWh7x*jPqQ?RdA0I?-wy$tCMF6Bo*%5SqI$ zSAT|nIZg7|HUG&IF|(FhIM4|LWBV?7>8H|=`AII#37o4;b0hrfF$ll2`+Rq%G5qN< z$YkRR$Yi}1`ehas(8t~Il$coo8?@qGWMwX=J=jvTu7D5+yIUTb!i#Ht1+||?)wyPz zE8XKvJ~v66i#g%R0aOl_rV&mDMf6uPUbWY-i-iUIjT?=x$eFB43ePRKaPnhtsBO zZH0}emup_S{@P0nuMbx*oKZ>ckyZ?+>#6#1jiPCIAF-_gOvBtwdk@oJNABCo za)DI+^aY++M4Do%YKx<5!;g42y)#6-(@4!AS~bRPgWnKlWLP5{NeyTy`ioMHu|{F{ zHLQaf&bY=1N55e4>T^I7edA$T(cN^*Cp6s~uO3R-5vIdp7LSMtK-TsRbgXQmhFWd? zIKiopjl#X!424_YeUf?u^ukRiN;g-(u??bmEF$Afr>=O%L>8MmM!V@|PQ?hgJ`F zmC+`;rWq+VwukFtcUP?^T|+v+bq8Hjj&ivkp=+JdR9B)i)Frz6tKAvpC!L|r+Lh|A zwNS%sXX)H)3^yfXH&MR}Hsyf3|Mjl)pVI}|yh4{{pNR%t5$bVw)AL;z>f){l^)5r5 z_qi)nPuQa5u8w1<2R=lo7v25)bmUTDJrL@hc7JY!IN4J_P{)hCJ#e7jb@z(zK(8V_ zaW;jzR+(TNWsiE|C`*C2Gf6JXO6~QN9|vk5{Xm^)*x7r-E|t4ofp(m>d2dV`!D-V? z;yqRFKB)K1?)GWh(!OsW*hkWS$YhbHFY=Vr-BfMEJbls^c`8oRAHEQ6`@yuhyXh~j zXu6>vOv}@B(G(--H6J19Saw#f48*;5@&WK|=I&dmxyV02on+nGfiFZH2wfeEU-<5d!&HF@M zWa~%MS+hmsK}h*nchl32ney)jsj-&n69%hIEVIPOPjQD|dWc%arXLt3?RbxE$5NU+E+IEU_$wP;3l2>G#q)xV7 zrrm{=x?Pw!H%Z-U`@`rVEWQ;>;8Td^daaZyA>N&@7PBTRAqLJ@OJUR1V4Hp#LZ@>ZeXR3zpE|`%pDM-Z z6Ie|5sckDy^H$p(sK^l(Yqp}|`a(6*I_d*4?HhHittQ7W@6gACETYFkwYPO36gZm*6-Hao?#w3UHriFTrt^Dw4-pe8+OhMaiXV zh)w?_4)Sm96AhQD>9U-{6)`MkG$N0}PQX=lv||uTWQ|>z_-Xevh*U9xrA7WJ~CX zm??O!t811^1ZKne5mza_x2Wb&v)hI+LGK?G{T=EtYmuCehAY)B9;trFDxDW3R6RB< zW`=WdR@dP(+Q(a@{wmf-_^wqa`Hs|Q7#xK}B%BaS)~ZFUd*Z~!wdxxGE|?=BAs!=f z&a_#?vUO?}|KnNoK0SjqoeMLIbL-UTqN9zzUWQ%c%orbBPoJ&oAoJaITtdvCgsKPh zr_FTRs)OR3MKX(q>(%syiW?nc;+%d-Jf|e_g!aq&(?G*;N=@h4Ok&Y`H7Z3--67#U zab;{sut>H+&4ds49oaXid921K!rKPVd;H|dgLAs35Ycm!n!%c}ikP@b{l$M?xbB&V z;hN5x8AQJyAk1#`9Y9~4^K5#t=m)iu|Jn??-6^9lA-zbx8FnL#zRO16`{_kd^r`iY zzPsqF>CBNHK7-eYE?d;p_Ns=E?8dOi6MgDC>BJYCk>m4~#Qx3dG5=P&c|w3O7MM<~ z-GU|dr_=kw&{xy>Wth0SMUD0!Z1hDKcD2LAJ6mB_%jhd)^kohco%FtpMqjkicRj6` zsrOyQR0#=HjQQ5575lfU@s{LbizjWgpNtyiIjK0ywis9@(%RCNBjCQZk-vge@7AK2;Z+-ES7p= z*+p04_eIX1l9Vg{>4|7yV|oX6BSksBYy z`$rlxtsMWm9`PDc%C2Vl^QC1XKFd^`H$%jU&jZ55Xgj7`vqSY0E9`2ZSPxKKTKK3QF@PB%4+LO+d+SbA^ZORqPIHG2;OmQpSsLq zn?c(NH^syQxEX1G6gMODQqtne0db8URYx#&` zQN+NDY8LVNarKY9LqqlRQZFLsxYRmU)X|Yv$MQPHh3KePo^yB_vFwCe*qScf@!JVC zqs7`kh44G2Ua*e$6u0%q1$VrO0B zakjI%@i@_0L3ttuIMwWx_1q^^9b;6We*7+b^4OTLr(t-&`N(*l=)7e-u5tcuJT7*g zGahF zvrv8_wfN?`T0%5Gr{1s@u;}WuPPl=}9e!S2VXdU-X~-%r>OI*{<2$~I=hb=1;t|BD z(`x=qhwo9v)cgA50cU38G0~aUcwFOD?+eQ`f52R-g^9G z#NZj@o7hu1?7!oSZSU?k;Zb#fv&bz`>WaE0m3~zwBp7!KlW%aS;Y|^GRUPF!NLI`k zRj-)N7Ln817(xfl5FwK$HM=V zngunpDcQCgkHsB4(d%VXvJ-!}s-*7kdLXBqX|L#y2b?pF=ZVfq#^W02XTKX&Qpfq1 zDtUw#FWqmWVRyh;!R1%l`~HSef{to|o1 zBBX>VMvW|TinI5yxeJUw{WUz!+0QA0@1w7e(YG9Zb)B(JQSm-DytvV~0e!Wc-cB)8 zxAQXkcA&4O^UwvcTJQVCsjqR!m~ZX{aas4hYxJEp`et1axgV&X``@~t+p&hXoEJME zsI~pa8hv^V*KsyIk6#0zs6x<(dew{AdEuP+=AoM1|IB&aS1;i>=hAcH)I+tTb>umb z{*l^CO>c~`fUjW5gu!FP@k?szumt@sRWI?2=X7tD^D0=37+g{>Npa(mI?g|jF{syd zUFV};#rVgl;k)`YMqSr(CjP2BMClFzm}}%Z{Waex*cHDzZa!8QS*&}`h~gG|-tqhF z*({;s@7c4Y8*y5nK)(nsdAh87>0PM&;)d}A-*v`54u$l|Im9uG{d;SL>td4CUeEgb zHOCFBJ%h!6)=7O9?o`(kBHY8C#lN%Br|(ppGx~(6srQvK`t+Tu=Vn$B;IiVL3h z?EZ6&KJHqNqarMYy`(knsA!PF-pl{YQQe;Vwe*NMmBOA~U1;?2`1$CFz9sdHJJH%=u%PFmbEyjPwTdeHT5p+qTTSDXuGWMV$9I3d4$E+Ud(#zXGck2`w^?P z&wde_(q7rSmoepRXV(3qLrQz?Ft2^M)&ulYWzih{B|6bL*LYmxT)t17NNG=R9k)+B z)*rj?6X^r&MQypg^c7a(hhU8Y>?MNa8*<)r?b-iWocuf>t*Gpc_w%@K@^@Sguv<8M-kw*hY_TY%(TbwwL(7hq0WAbAC0Yu!C%9uW zo0h_&bVF;0)(q`^v|4DD(aNEfKr5J}ZNqEoO06J_6JS@YHOVs%}mzNQSHPp+sY)LOMvq6cS z)+%0(&hMAFXc4vV=LoiSG%gbSlvMwy&2C*)NKB|}FA*{uGajkB;BXkf!#?CU4;`y| ziFWnunT1b1d!?YiTZoqR?1!`t`2NUK3DrNO1lg71ApMseb|qH!HJ3C*j!uw$i$S9e zkMBWa40?Mt#{uoiAE5CD>0e*!-^JS%{Yw<~ z6$1&QuYx4~BYyVv0&$jsAZBowq`4r@w+1xPm}QGTsu`$%SW6fE6IjwU&?IBjA8))0;2Xw zpeaV*eA%}WG}Y+a37TfmVGu*T0-A30-2=@q$cp0SDE?I?lBQ$=ayZ)TRH{g71fse& zAgb#RV*DdO+}W`phCda=@E3p>{yNZ1BZR#m27Mkh%?kb4cOc_RVKbu+0x_(#AdY60 zR6>r{mwhcH^#n2Kk3kH2GKfKc1!B;PY>MWJdKEeubs~sSp8)AUo3blcWS@t(qI_xe z*+I0+31V>-mwoSnW*c^GK-`=UK@>6y#Ldwr0p}Qo--7fXirAGcpsx)28N@{{OL_>J zXN>yc?=~=)bRgOl1TmO$vhM@Xe8ZzFh{5y+As7wI2_U1H1^U`BTnhTeplu*t`MW_2 zjJ_)%D!DD`u_RAlbJSl_u%z^ovVk;ClUH_@kW@}mWl6Opy)UVmq;`_JN$M+UDu_b9 zmVHYbNn9;ylcepE_DMP->5QZ+k`zC)^n5_WL||jPs_|HDf(}+-YY;226Nr~h9}o+0 z28esP5cI8)@E<_>Z+`5`ArN=)EQr~A1mXsI`Qu*7uuBhGYEWJcxXi%%pydV)12GxX zLGKx7{B97>b4yA^S!CGdlhg*p<+?~p02MHNe*tm6OCZko5TwtCGrmxOIpJjxr@1M~ z9%v>l1BlmaF%Yx$E{NHx3SzeEftaliKzuE0EvW-&r4fEFP+tw`sf+;*H)uMjkrDMA z5SSIIjYgGVFH9(O*HUjnV6%?+tnk;x_pNDM~iOBLqYtMT2n0ahe!(a=BR0 z8pHWL5cAOz#8ayWh}u5^QTuQZwT}l;`x?+%W0ox-&T;_6>NyKqr;lpNec*b-&_^|g zGlFNoomVwmQnb-XJD@6o?u8!j3bJDV&8)rf?yMDO?5OmLy8r31ayi1F@=a zf>=GtQkm6b1JO4?QYsLuCmo2}F2b7G{arVDvFPQ-Hv~~YXAtMnK&-AYAWE47qLif| zDp>`hlFcA0*#qJ%CncQ)QOS)WKuYlmHl?Hi@j?g((K)-M+@MwBWHWm@SL{vD!PvWj z82hKvd;*BEe+6RfYe0-zy^DmHv1bBt8}fq~dr=Ub zOGzpRS|ye=m$COk2V)-&V(inT`9ct5Uk76B`$3HT6o|2305SGIK#ct{h|BxoE}j$w zV(c05H~3w7F9u@l6+w)>8i+2nB{cxCgqng_Li#ME;nHq2h>~W4DCs*nx*4QP!r}Wf zkeYr2QPUj|H9ZDVlP$HWDHVueWs#HvL`_j3mQoyuk{W|3sRf9>?RDbe+XYBXy+Kqm zLDEd!5V3kHb3xR!5=2cqDq&fvBk!h?+Wp=-XXVZxAI71X0pBIr>N`bhYl|j^A6GZJFfQDM@>o*NefsDU{q^=-p{|H3w<3ZFu z8$|72gXp_N(hATjk+C)IZ8+pa2Y2Q+h-#CCnQ8+-R2v4O+L9oujRkR*>L9AE52D(3 zAgb*PqS~P#svV90gFKhq$sq3Z3J@pUC}}H*YWISu_9BRC?}DiIF^Im&)0yNA;!X#F z7<4w*sOG5@22y)8i0a~{VMmvtr_v2XbqOG9nhK((uR+wb1jHR&1EQov5W_ks=@@8b zNu#hX0jVijdQ(#nh?+t{bj~0t3uu-2y^TB|>!X9wwF5CaO`4AaQR8$FH7*A+_KhIM zo(N*>`$3HT5QxkFD#;1rcHC$UWb8f}%-GX_8X5Od;UGF^my{dC{U`(~W!P1aqm4ie zwLNH)k)Ph6Z^iYts8`0m6diQj0OA5$K+M2y5UcMrh`G29qT0tGs!bklsto{9Z5W92 z<&qQ$qT15_K&q_^8ZJI-XIE3vzMHh~1zIImwUe8(5FHF{J%|DAm*!_cRD1(OfnFKS za8rR8ZWxH0lO4ox3xc?OSxFT@47W}ZAj9nl;>Ge2h@0~Xh|a?$jRvg}BihT@H=~2G z{{mv{ze)3ZAja;U$&5V%h^fvEV(bM$jJ*_yvB!eAe0@ocK#aXZ5g=n93}Wo#K#YAd zh|V)5%>}IzA9RqhA4Laa{~g5GEtyTn01#u(2x8ugfEar@5Mz%8G4|RZ#@+?Q<@-q* z2x9CbH6UZ34PxxeK#YAAh|U`%Z2|G3*a<47=*RwPIeHDmP#=I8YT7KOT@DcE%L~#4 zv62Lcn&yHiX&s1?c7iBrKZw3Zbn-?Fp2|t! zDq-m)_rspm+>dM^Mpqm}&q^SQYyhIjP9VnK55(9%0WtPbAjU30oMo=0Z$ONFwFYGH z`#=o-G>E}p0MYr1q#Gda#{&@eBNQ)!q|6|CM1WXg#X*!*O^$v5(j{R(Is>U`Fo>GQ zf~aW{h?-`DsA)cki!7J43PeqtL6me1L`j!Glyn_L-@7{TF76SKnv!QXm4t$5m=DDC zzXSRnQR6dg5bt>Eg5Zw6W*`dr1jKxN2I4FeK+MMs5KrNy*)=mYo6t$^yFt|c3y9iJ zgQ(pr!hCBS2;wy1Aigz@1Q~CQm3KkwjZo{#(GDQKfBnep)0AmIzBOJTX^W(Tpy|dm zXF&Yi@)C#(-;flL!<;%BXrkef4@A3?lFCbJ0Gh$K&_iYC7)cW)&5*Pd#6nsv`?i3X z^dlf<@B)Y#yaHkd?}3=XR5{Hp3D1eQ(A=G@=w$gsf>=HkK`fuTAePSuAbK>H)CR=r z=>p=u50<0jK&+nWAcneL+G#tb;XV)x0HUU}AZp42Vps(wMS&=( z0*I0tgD9yZ2$I53x7~qs?ki~k2=6ny$rI{3bTGPYAVzmon*Ro($VVWG49acBo&m)D z$OdBU`9X}m2#Cv_Red%Ny5t^_&6G_oAdLAXd+9P$@+u_OJ)J z-VJ7sG&j94h{0C^agKT*R#qDjW%LKJ5JrHgVl0R%rh=$qK8Uldl(ZH^6+4OmDdQ}N zGH!q<;~t34N`8})gI0;rJ>>;l933p!Dj>!lFU>oF82bPaV;>JF9$L9 zRUj_ES<;Un#(tm(kg;C|G4=-_#%?KKI(td-1FaHGddYX;rP0CYs)9Bfb=v^MYqk}L z*K9WsWB(k)D}N@4(ai-h1B*ed`As0^VjqZVPlBlSyauG)>mbU#58{Njf+qQcC^sF5 zY72v?wmgVxV?p$-A*l|C1=k3~p!>QAhtCjcI0D4@r-B&u5;?j7#2oDhF$+!*HQfSH z(?bv?r6^=d@&z%h5J_R6l{|d11F5Mrh?=T_sOdcro!^%f52B{lAeSaNIu^uGXMnaC z+qn?L?OYAwc5W?%!-q=Fqm$Fz1aY~0Am$@kVRJuIftZ=BAZjlFqV{4SYA+AscD4ZV zmZ>j@XY5FjaRh71EFh1n*cd3!WNb}p2(TGCcYhd|VRO7=M=-2gF{UPaB!qyjNBVIXEEJBXRdT~yv4 z6-6hLUJ}GguK;3IGzPIMI)Ydg-9hx|D`^0TRWTIA?fgQHE(NhFHh{JnnbCFvSr$h? zEQ|9XD)Edmr?G>$Txt-jA~T3dFAQR4;y|p5x*%$A1fupfAZqUcf;bIt))oNydhB2) z`YwVJjR<{LO`so%peLW2P&l*)6@WRxjG;gS3HPW z=n7&MJ_b?yXb`ne08#r)5Va?Q)*2z~1#y;BAa2Ap5Dz5JXw5v4LZZ##JRlw*@5sJJ zARb8VB@LD&K)mal1=?vW{0)c;FO#$f#F@{7jH5|YuFBzul03?o&S^lLu%hgXlT=Sq zyrixmW~-0v8w_I7Cxe*5uRtH^=bxvt2*?z!0x^Z_K-`iaCG7&Sd=7(n~8l>nAA)#Og@{LW!Vy@|2b5UwL%0d}@MNK8-;hS@H&ejShr2w&RQ-WAV(^HuZq^55` z)U*ObO`AXrYp&L`{!CycB&anv#M*^i3@(J&2OBRmAmAP36!@swNF@j zYRV`n8;F|nf+(bn9IXdps4YP}UAlq3<^A6PU^b%yMuMnh0f^JA264IdAm-yo5YL69 zAZF$gh}!RhsQn3u+Pz~l5R*!S=l^Y(t!3D3ugv#T`iZy7!ap^AH?IOxg6~xskfxjAWpbe_HB{0Q_|0p zE`XS=tL)RyzxzNYJ!KU$g<&A3Ff)iL%nM@q#DcgbwIwwGv3gp7SUn$sctwl^v3kaW z=sOAI`rKg}kmWNM_#=qra{$CpZ%R9BRdd1=Al64_5Oo#=u_~fLlvDvkNi{Vf zHFX3ruHKURgQ#g3h?-`CsA(~XnpS}5yH?UB5G8E~QPK%H`a4LMg!jL9fz%XQ%{0sg z;)JeOf#__Plo~|s89~%uN>U|h zR}IAQ<3S9%yBz%l#2k$S4deMYA4p9rK-9DrL`{hxYB~U7Sieegf~e^Zh$Wh`hAAmM zh})S3MBiMJB5UCMqoycyQd4b7@zSsbh?;tWsA+^8oeZL;Z$RAH4IoO|38JI}AWAw3 zq9pAska1m=bQ45PPe9ZZQq$Cw4Ma`3LG&#sDGEeM(I85yB}dzW7-}yN{yj_m{2L5p zjgAGeMyG+OWF3gp>;Q4Oy&&e}D2OHcJBXQi1fq8DTBi0u5VePas67JYI{%6SIdw@8 zwO0mFdovJAv@3|(dx7YoNg4#A_K_e4JzvstX}1c*@PE>s8TCmyd&(twcF|_$^k=rFo@bS zgQz_ph}x@zILikhBUDXk3Z(Y-AZqUqqV`cBYX1U6?Xy7Cz7Rz1t3cGAC}}5%+K+*# z{U(UolhxIwL%&_I0qGndDHVv?(}5UuaY-@KE*8Y_8-f^gXE~~Yn4>WuW?>PClD?~p z^{HtMI;m+hh?;%^G3rww26#!*H4xQ3kalW4Q(YzyH#P!9-+Yn^fhbNZ38cC>Ioue; zsM~@V^>Ar7QQA!fQTrS@>HskddqC8A5JZ_LK$LkN1eyBz_dAd}_1_#aRzFEWAnHs9 zqFrGScdb0m;D7f8d^(r`V9I=9Ku!yxMX14Nzo zK-8%;Fm-x?xSc6M3_lCVwI!OwLLllaEe-2}sIw)AI@^Qj+)Yw15Ood!QRg^0`Xz`m z7l9~qm&*?Kf5)WZX%KZ@bPd~;2O#S7dEe9-3Zl*oAns>Q5Oo#-F~Ar}u^@`84`LR& zfQIw^?*Jgx4FS1Bly{2%@BOlCDX+TOdkGp`X9hlos=H!ki##DgmOVI1n{`0HUVmAZqFa zqNcte&N5umXb>e$15wfn5G83_fcPJdp`Y+T=Y5h6f~e^vsFY#%P*RGkB@-VGzdgZBSF-(4fM0|;(7!0g+XcK%})#RgMKmkN`np> z^gf6lvq1DXDCruAG9QCn_kXFHD9RzjxebUe-9U$pzKm!pBr%-yaEVyGQJwCfAv zd;>sh^z+ZIOaW5o0uVK=0#VZj5HH5~y_(*+PUT>){M?tv)jF^FN=TAJh!;x?sjiR+)5@}rZQqCwR3u5_s?sV0b; z8i1&&uN)lO`n0NX+DUWR)VN$9f-bLBy9&#(moI+U6piS+C9;L z)D+mp)RYy(2@8R!DF#GM4M5b?3PepELDbY6L`j1|oMoJ(Ngzs^2ht_s{qF`KHSGpb z)6XC}ACq(%L`@e#)a2RLq(Bfofw zDFnoADkQ12v?~umbhZ1jOY$I-A=4LDU`&qV`CTE*<^#E~}fnDVN-wV8iBZ6Gmz`r25~<%5Vem6QTr4SwSNhs_C+9S z{~5H_sHhX5Q9S>C2lC6;M<9Ors&+HKe9Z#lXmJp~A&r%N?LhqUwYQ|Pl4gUZ8_RtQ zI>u54G5ihPaQzdHqmxtL08KOuA4)@8che_w}P0$pFrG_UnCs`v3kyfSUrzHtRBA)&FWD>^i4z3@BcCYSw7i8 z-1p+rum*_b(-`!tSlo31aya1aX?OAl64s5UZy(XuT0?Z#g;|#4VmK`!;Ammd`Fp7bM*Sv3xvw znm?oQ199P0k_v!0bwv=Xr-mGDB&nsOejxp4Ie7p3wd`CfX|<$Hk`92Ftz)w90*Fa} z2x10pz03>-fSAFwAXZN}h+C3NC;k4JDP{ z^aF9aT>4Q=5l@@mQM(X>CXXTK8k^; z{ap~Nrz(ir>w&2K0}#V+Z4&-^6p-3`fv9~9h}x%vsC^EI&I=?h0a5!Z5QE+!M}GxT z`*jenoW~$)_vxcchkm;f0;Kk$AWjnl;&QPdYJU$z?JYsn-UCGKpMa=+IEdQEgQ$HC zh*!=Q5NA2i2kZ0FIg3tSI`=`mbbR`n7gk0P>pQ>fs|n(j6ECT^q!A!qITJv~jeVaE z;=*4^(l!G*^$`#+onPhfHA#0R`S&v?ED7R-=jBADML&2%>K&$o2VOIv@)vD~J_P zOd3`Mv5;zkSSj74-9TwK1jI@iB}Zp~sC_YrGS`AAbF&7d&fOsDJOE;ZCncQ)QQcJ# zvyh^{sV*4Q$T%UwK=jQlDFQ@sk)TqBT{+h%?*AG9sjfBXs8K2(f_RyH4B`nf3PkOT zK&6an)_}O&Mi90C1Y%Vj2T}X)AZou4qIUdyph_E_f4)F!4+L?-Fi9Cf)Seqe?d3t- z*;=4RMlkh3^o^I)0z~Z{K&1@30diDmKx&@@`rgpK6h!UoLDc>eh}tiKIL$o}mwODN zcCP`Z_B0@B&k3UT{2*#C1v0i>Q)&aLy&q_;5yD^)Q#cO96Jj=qC&X$HPl%u7=xGoy zmZHl?g6RJZ;)vi z24d9NL5#Wrh<3H5T>}ucHa+|tb*2E(*I$wfqRg})hM&(hiu11&kUA@YsI!eU?CCP}RQiFabC4XJ0HV&h zAnIHOqR!PI>f8dN%+ny(M(D)z?>dm`9)g$!`w&xIW)OEV2Z+v*k_v;U?i~=-)sUm{ zAc|`TqPP*#Zqg8WhD}2!)vW|kQzD3(eg;v~F%UIf08!I55W{*R$>UQ~k_w`vTp(^( zagZTNQ=)-%t{|xjh??F5QBxO5{iNMM5QF|4#Gt>Dqboqv{v(LmPk<=t63F%UKmGtx z(<2ZyS%;co`AG@_ahuYCsHrfBn#zN?O|c+Ksv)Tkh>{wCT#|<3`lsPgbTZZnpzp2v zf%GMaFGPz$*^EPK4TwsPfjG@?AkK0X#C+TX@nrQGW@aWWh}t7S+)gbYklKrbsJ#hj ztr0?75U1%4;tSC*5MPL?xTTh-*lO-fJF@Bbo!(~adyfKC`x z9>j&KNNNM()Ea1_VK-Ecj+Zo5(lQX|J1zSzO1chm{r!)7veRdTnJyK?5YmH~^nxI! zFdD=ZRsb=BH9^c^T@bgVsiamQR!>(Dt7o_dWcf@2v3#b3=sZ`_Hz1bJG7$HDs~kNJ zV)a}Cv3l-;SUt%{n$;5sqLBO`eHOg`Ee+&y15>RAusEW1Fgo)aKe&rQ&J{rs~l$rH@sv>@(rF4Ec75Y>Gxhu46p za|ehz4}+-l6o@)6fvEEuhygy3qw!2+D-f^zo*-WN2_U|1Ow;7>auBc2&9d(th*$m%Nxq+(ed$5G@^gSr z8VeTyapB^U>Vi0P2N19P9!0Ow9-WNUbG&J22XVsGAl64g5OtOVQBxd#O-VdqNFY$O6m`yq`@Fc(#8O(X$pvod?RTwh?>@esOcbxn$Cl$ z=`x7EHzeH!QIayz6p~I-1PC7b`IiSsO{GE9RExtHZ3?2MZXjwJ3Zka*AZnTdqNcAv zl(Y=Qur^8B2BM^2K(2rP;0lnM9)YMS*(B51TT)68HHCnqNp0n5 zZxAI7*MQVC9YjqFK-9DhL`~~J)bs<0ns!Or52B_MAa2ub5G5s_Y)bM0(KpCNc>fy$ zq^1lYYAPTND}fkmUC{T&A=@0p7nm*}zQBA0qL8T|&hib2%Pj^mAFDw;pm%^KYsQ4f zfYg2wMD5o=)cycO?aCMCgg%l2K-8WVMC}DZ)Ls_E?W_o5Fx4f!_XW;BYHx^6M%_sc z4*^m8I1v9CnVBGJUj(A|)gWp=0^%%A5SRNMMD2G#)NY&NstQd>4W#yLAZpJ8qV^~d zwU-BR!djB*fvCL|h}ty}wT}W(`#2DNzmPNow2J57JRqaqB598_{29dfFMwFf59Fx# zR5M3uLCiuVh?3p`QBrvjB~=GeQoE`0{Of^EM%WibO+!G`Gz~;e3qjPh3`CFBlGcN` zo!dZ^bX1Q12I6+!0x?uA)il#EGl&yLfT*}Eh>B~1sHriCnp%RWsSAjj5yG7X#vau^>un z4x*&)AlL6-1_G&RD2SRq2T{|vAWpMd(s~dzZ3j`)84$PWI;fEm>Kzb$A4^I$!<6Ks z0U2us5DoK!xKG7E)Kp*EwUT!2LDVz^L`@Sw+@>!=0^gf7^`s#L?qQCzgCJje{sA(RE znpT3SX$y#&c7UkqAc&eyff&|RNjE{1lx&tMDJ_WGlyer=r>01B(z&Rlk|1g-52B|3 z)7pE$M^&`#|0f}YBm|O>PAEwTbps@!w-8F`B@`6}A@truQNRrX(v>EI3JQn{f(nQP z6f}qe3Mil=3JM5lC`yrL!Thh8YtQUC9G>^_{lCBcd^Y*+HTTTi_jTsXp51JczM4kp zxUnE6Jp;s~g>HQv#M*a)So=|sD#>m92xLtcK&Yt_~nMpf0?N7E$G zVP84&LJ*%LUI4N7ogjL-f1Td{4nd@a$3c9OC;-vSzd&380k4^Eg@EW*X%O8i4`RjD zG}Q#rt%e|$)D=Xx+AiA|v$DIUm1e& z?dk=hUHw6{YZQofjR$eSr!>s~(XD4etm$|)|@5h?9MNqQxC05a;mQXeJZ55$8XxiG#@HQv*al8iD9X za}fRL2%;ZdL9FRh9*BN4 z2hoq#Ao|f&(<31I(GSF=p9Im5xo=_rqan}fF3UB&1fn0Wf|&Jo-Fg`GzB&(%f#}f< zP@1Z^?AwM>M^P$>S_iES0`bgoPt~{tbVd#Mw$=`TIPv!&R(Bdiw=RNsI{gLW>hXWa z^sO9-zPUj3Ee%B9nttj2+&W8rh(2WdKGkD(IyZlJ`Y-^w3{G~ z613TTzpFHeS}KU|q&3yl3*@Fa8Tg7CW(MejqNSjp6>R`nwI zG0^ke|7J*3ldJ;W14kKulp0h$$=pF@+aEOkp*MDQpC(6x_!9 zz$I9+EDr|Sjcbp1h`ZWM?WPXlp|*A=Pj zzx_Z~d=kWpgY(Ra%YayMeNF8^OyMCAQy2zf3X?!g;VBSPm&Joi{c4 z?=&Y4199R?AWmEh#EBb%IB_P36So6#$9zcBV<0{s3ft63#z710Dl1>vJGhR{)~vH$WWlPffwQP5Yxk zv_Bg}`$vLke-4QDyFs*nIf!$t*^T*$uS2B$TR?nD`V2(-Pk?Cuk0AEApy?8b_TK=p zkl+u^xHu5)uK@a8xzzxqmZ;lk2c-Q&L9}(c)>dn6ht|H*+BwioHQ*m0+VA_3=~h_~ z?XRV&t)@PT+|vGOz;tyW7K2#bauDrb4Wj+)HEq`PF^K2E=UV#)#6xlk#6xli#6$8A zh=(LFALl=hNz;6Dm+A$&rD}RcYb!vvm9}1M+d#Z{$p_t0t;ax2HE54XQJSiNm}-jF z+>Lp&WDt++au9p00deTpK|FLjK&<#P5X(FZVwt~z+^q8! zkaZe+%{q&MIAExzND%9c2eHojAlBI$#5y~I*tff;M?oyJFNjHxbOTxE1ReN3h-ZZV zCuW^VAaIdS|y9)Y8X(jfVT9T%^Af}owHD3R<1v2a2AZ9%r z#H`1GnDsOe7vXClCjB0$P)(N)GK$FbkAi#@1@AYLjs`L5L=cnizTa(Tcow3s>QV?| zB~b@VssduxDOzg;V$zR*m~?LtlO70S(qlkO+70qtih$G!?KWQ1;ttR%Rmow{%Zk1O zF`3^$e2MZ0h@bNb`wRuEq$`2gBMrnJ?LqAEFvw5E4FVNaGzauNfBvydp)_ta$X_+T z2P&rMBM=ANujvO+fNJ&q+%N(aC4(4OTT{BGHkz_QOn<@W*#FpNnQmUKX)B1P?*`H8 zQy^M>4n(UjfoSzD5Uut*Xj)ww6r>WYrnM#@I_>TXq;b7LOlCZY#ytgMuFFBRdLxKE z@<8ly1jHUELA3fZsJNQA#33`8SWOi{a9Y0pZ3v{*EkPWxgQk8UT0I*?tGDRZotpM) zI;`me$g@%onc>E|dN7Dqj{?!^CqT4%J&3ix3;IDV+g%_Q zauCEde-c!vT7L%dM7`q%@=Q(p!aP%(fOw|10o_wwo&<5g+aONo^QAdm5Qwd*AfCp3 zv^HAPbP&s&>(Q|PEd#RR4Ioy$9mI-vgIMta5Gy_p;ud^W(@hYc845vsW{5swJ~LDT z@tGkR#J;s8xlx_JQ6I>sh71t*`R+QfKj?ks!YB|^eOAY<)NyM-d_MR9#5%tKv80nA zmh=;dCH;B?`yXp+der=jJv~9eYTcd&v62E!*Fc+8GO@?Z)i> z+T$blnSP*!aum0*+(css=o{6%Piuc_D)Tkoo>HyrKo=Bk1*u_-qafCK0>nB`gIMP! z5X+4G25VlW?^1;NBaBoak4bY)`$4qu7>N1b262*mAWq_U+?*r?#7S0wILT|@;su9F z_4yMfy#nHF2T$PqC%*B$+5F^5bK+SbPF&%XIdOFmC$0x#bxlE>cqWJ^+ZxblRp)1* z66$cC(XD@jN-E7A_k&@CDC`IdRWuP4rf4Rpl%khF;fg*7MJW0m6sf4pkA@MYs3(Z0 z;vh{=XqpXTNxP}Z{qL}DKBeg<$YcK*v-VRU*8Veywf_cU?X}LDwT}d`_UA#YeUone z6vW!kg50b<{3o;arXbdy1!C>PK&<^05NkgQV(mrFnYC91vG!3Q9*B(~Ci4}D$z0N{ z{_gW;HWfik<`EDNL@tQQJPTqnuYs7%w;(1Hc)?7j5r_w30Eo%Ft7)I6qaMQk_lp*9 zfp~Pv{A`}v$si6>Pg6Th4`~{$X*P(5PJnp6tON1TZ3OYqZ3oTaY4QP(=fOTr2SMCW zkAt`k{RZNt_B~KxKaB>ZtGH^qwHfGrU)gumFF5~s`R#_ti|)5U zbySyqptvG3@FJ+tN0MKGc}ZSIQ$r9ZX#-**9YNfT2Z26NeJ6nys)^@=po z9oP*-yK+HvYcYs!<%8(f2~b(()?c6iRcF*C)2$ksI)FIGW0&;)*9Ri)nhg3-*)6ItHR!KY-}gPaszOtEL+uy5+tHq+OAhO}mmnw5uA3ol`Z{ z1<|c^5G(1TTZe$?*7G2`^*V@d-2~CC;44a#``=?gisL9k+pM*{pa^B=aZn|-FmGxK z`W63FNV$~)Vry&Ac-3Pt=#XliuUj{Pm~=kK^YhH(-Ae!z1(exG|nw|xs z>5qVDdOr|N9}J>z<1|eI(e$T5^!!CPkZ1G;5KVsv#Ln9_eE_2AdqK?qxNiLwMAJ)O zHBEPcXnH#k{q3iXlo5kEkQJWnAUPNy$oW4?|@jyHW2+i z0ivttK%T#Ubr(omi(WTv4F=KHXb^2J1LA;{H6?>+YdsKc?F^!;y+L$!0Em5uYZ`MM z=Ra+o43SyS*Uf7|wDn66*YX(d{2%>M(G`$4k92-C?8MpB^ zkk;%6eW_OK3D80{%q0-*x&`7Q4Eo)4D;mT_SPn$Ds)FcN4G=4CpeY?hx7vcH$k)IA zMqeQ98UvzT6G7}eP1DmLx-}2PN>=ICZ6LaJ7DTshfYQ_{n{d-~s{!bkDz4W}?0;1i zPJ&3gp40Reh?9H(Vlw$4y7e9Cm~!h9h;IE2qFcponQoN_acw1o=vFNd-EyY`X;%vn zC+VcA8;ExG261ss1ktToAi6al#J)>32@u_S1;j$O>ekOda7*rgw}G^)*lp9U&Y(KV zxc*vOqP5o~Dc^R0=-XGCE`cVf)}TB1>!Qk|8X(4X05O@zL7x4uACR^_1EPhCL0oVf zK(uf>h!*Y!(ZT~DI(QgF7fxvU0YnE2Ky=W!YdRR>2GYVv5IdLAlmMcIRYAT1oC9KlPk`vw^B}sl8br6= z1JSLIL3Hag5Z(G3M7O>Jd0zjXmxv>V|N94`T{l6rEBH^-t~e0gN&vA(6;0JabgK@C zNw?9heL!?;0f=s``V;3r?K%XJcAW=RP}ecV{xXbaY9S?p=utyWJwTjf5QxbP2ho|C zpdZxIdmgk@+8>o_^5unP7 z+@LComV&A(+61bm=u?nO(Fss;5!4@H47_K);@|j>VRTfvZUcR%Xj!4DtpZV-X83sG zo&iz&3&aCf#K+VIgIMtd5ZA|A5DVVqgTH~ninl{##UFxL@ih=%9|ZfFR7KNsnl@_M zuW4NoAJ3Z@cl^v$jiP2+XFx2|eNl^_`J3V~5NoPg%&e&%h}uga*0cx2nl6J_(=8B7 zDg?2l5&>pObwPYx*a}pg@BfX|VlJqLIy!G?Ee}*vX?sB_iY|dt6;%#22doDgs}9&O z5ZA{_5ZA{SAg+%)Ag+&+L2#7|Bm>9=G7iKA@)9UnO|lh~rl?wRAETC{KA_r)-UQWA z^Z}@@q87nEMm%0X0zcC5W#ne|GD@n>x_9gckD7Gkzm*VI;1rBHL}JrQQE zsHZ_(J^f0V+HlZ!oTPoYDRu`ja0`fa?$lb@2(zXZAl5Vz#HBY0#HBYK#FCx^v7{X! zF1@3mhU!5465%$*I}jTwv1p`^(O6M9sEMKkP`aX;pr(qNfHD+y0yR_g8Yo{a!mA)o z7ZPP^6+z8a+)$8ebsJNGEtL2=Xoc$h4v5G76HrUl`VA;k(a)e(itgw*pJ=m39H_Nw zO#?B7cAz#&>j`SBXd0*;_rJqHPJA51i34NI&aoh#SRFt-v7Q3)#M%tviS-?bC)PC( z&#S~(^StT~;(0X<#Pe!dEcQR1Si2!I*CU$JN}DI!SP)OPIUvS`#+j{|AhrgUF|~9M zwG|-t_*1vmENiy9i((QnZ&h5K@}^rEn%Zl6SW_;D zOL>mgR*>ZN-$5-N*K}6X6-}Y>=ISp8;_B}N;_B}K;_B}Q;_4p*;_80^L>D%IxX|-J zZm#rCfn4cdfwg1B zpF&!KxZwJLhGX^n8&80^^m0L5db2@XdP_iDdS^jb)s^6F5Iw5s!iFzbirc6K?4%Y= zYfxuJwUT{2|30BN=wWrTy$yO!(LT^3MfIxt7)h$fNKlrdS)eY8c7wVqx(s6N!8Lr0 z7^NkF&d9$(hJUUAj8$TDP-#UgL9Bf%h_xR9vEm;xp6lT-O;I3T z*e8IPOoN)3pD!ibKUABY#-Q+4Zn(EF-&2Z&eppMiK`UjX8T zy^&&Soj}ywgS7aP7B_?Vv7#fO$JNl6L3{<^pK4NB&=ab)3Fx9a#shTglbT)vG3j?e ze5J4rgjWi3|GNd`3xswL}mPL_-h{L~9TaL?;jr#G@b{h}$48xDvH| zjEB^LeH_$X(KL{Ikjj5H@T#I8HT?m)qG}4NZ6;U-#8uH0#AN(&*cPb1#X*Y|wFEJl zHkvwU%F@&w>(3L|LsKtJ*_sAu8lq{0rc*`DQO;;Ouc<)O6;0PXgzLW~6u?}oXiCX_2O7npS99scE&Qb(+>|+N5c- zrfvT4pSADM;x0}3nm*BVK+_>jM>Kt{>4c_Jn$BoCZ<5<6FwwZ8>6)gSn(k`)Ta!`D zOy5safTmzgp_(Ez#VA7k{ze%s#%oH_R7F#=rW8%JHPzSDSW||kmYUjV>QD^lKkd)b zVs}kFH1*Pyt!aR!A(}>L8lx#k(_~FkHMP&xI8)OcO$#(F(zHxdI8H!rT#td?5@-L8 zs2nNli7=)>cw5n{GDwuY7g4tS5+#&{K&k}lgnNY16<^;IkKT)@?DL+Oj#!`V;uYD2 zyIeUmbC;_KlKTVxW-z|a5hoGUg-?cf`tdxkGyyS56;ZI#qS+kYZb zBe~HnMbb?2T~MBQ?w^Q8ZdM(DZFH`xNjkwz`av`lt&>#T)0z%y!i#24wz%^5_}L!B zs$z6pbj&a;oT%ew>$s&Lruqtq zscr!=)x#hzJvk|pzTYFYYsMp+B3LT-n^eYQl9`P3=tB&JKXeTGzj)-YW`jrOr>3Pz z{E@RXsi<1F(j=1fh!g*Jj~MrFJ#zmWlcY28NGA9J9+i85M|=LgNAjxiKP{vX(#MhcjFlMZx`3USX;bmj7K&}Dr*S}QYskrD~-dV4-wi#J<6S4gcueDqOiG%aj#9xX#be@{$_*GKkfcL<*z| zASUesG3l-#*0~VGI$r|GIwOpCKp33zo}=izXYy z*C;tUaF&i+4C0zu1>%~Ki;HXKAc!ZbeA&SR`=8d)bpF<`cOlh7h9gxajX@8qCDj5X zSF67v-++5WX%FeRKAPl)1IT_Ozm z{78c_uQ6TpEg8ATr*>Y2kjUcx;qrYQB%tp2P()S3ND<8J2EmcT)w;IZE1#sc1^gVFqV9O%-gUN4QE7O z*&yFw^ENb1HjE=e$c7!ZhJ$Fx7l&oTdRs#;?5>+dk!Uomur*vl!)nn$Hq5a#%*1o< zA~9MvOtv)y;~F7Xye1ol*cx)tFh-n|4Q*@w|jYW?WwuZ%MI3otjh6A>S zu-ekyRk0Xqhppi)G~|m5vd4N`LzOzx-MG?dSYd0}j)v8uOXBhppQ@DA_jUGbjz} zTf6-VrBV~C5{mW)c#p+9bQ+X4wsD3-$+9VH>*L9BVpD6kj}Y{1Gpq6wl=`-AWgB39 z*p!E%9Bgjwl>_A*ezM?AXepGOR#s(O16=-8u?=(?K`AyRzM)~vZ*A??3QCMEW;T>~ zo3aneTI{CY=`TSEu*Fnvgv~M3CiR1Kx~;X_(@=_Zuqtbz-1W1raX?X?8TdRxqXD4T7{pHQyjePeI0@>q2%{BZoeTS9M0 zt8Lw0f)apt|GeEkhBC(%Q#1n`qD^T9B@@q|-d=;COt!_m1SJ=W+dKUike+_n+U-{; zLu}pRab}FMDP5qv@QAh7lTdotV%~?6ZBy<-`Je}SxeaqmNxXIrM@jDpao7&o6-tO;bYcbgP~MWF>bD7{E{rB6kE5iphWeucDn&3#uihtrD4R| zl!u{If85$@B9s7I%tk1oRCxUHk1rs#>}~D#7nHk2tfyJEOas5JYgHbB(zB1X*HkDS z`&pG&p%mE0ISu8SM^VQg`!x2Y)%~sA>OnbS>ox?+8Ji-YuoW?*zq>ol%`PX4YBrm9LfqEqvn4W z(rPWqgmy#eG}PMdIFvcIn0rtb*_1@=oCAkhdo_YG*%s3eO0HLt^UsDfb-1)X)?Ovr@lqIJhPsLhrmTdLG{)NN11MFjF>d2GNGVpyD37O`R%5N*GN8oRVkSX}w<(*T z%ou0w^*NN6a;(Y~C;_%!?uvMp3bjeSpq!Xs?e+weYm=H9k8Dmqb;)$&K z6V_fGp`5VA%yUCJW0O9GGVDofx1XUrJ=LlN;o0thtycz=BQ_-m%DQRRUN1qBpK3w1 z>W*EfGmd}0O)8&-Klk>OwOdOl>uoVJplr4&`B2K`T6>*=()4Mo@(&dG28nn2nqBbx zCtpc=rQUdIeRzho+dL?fZ81ln#*E_VU3Kdwu!lxOcLakTPt_EGQv! zt=-;$QhB~r`3g!ETd#OL`={8H;ZO!Fu=ZL4W$Lpy{@%-kt&n1D-F|@*Z&OO+3gPAF zti95q-1V`RkpsoB^;!=lf04D<0VoCX&0%l#_aI%f4U~cli+hW$-P%EkTxL~9LOEgU zwI0eDn{pOPz2~jH{BZGc05O*9pQezG*t$)I(rLN1+lx?k*kZndl5bOjaDg&VSbHTy zS#OIO2xYTWjPzoEoKRnF*ao{l%!SGUKgOWVT^hGQw|qe zS+;HuL231}wcA7}^=&b4LCLTwKS3GtinUiElq$BE8n`@5@hG0_pPrEBt+sZX3?;_a z?F}gLHsxz5o7Px+-Gvfhi>ZT)x=@=k2Fk~4J-y`d#|utK1^Dhg7e0n^&8FOha%G)$ zvami_`L>uAP|nzt@lc}Pu=ZLC<$#WHgN%caj%Z0LKG`sKgSA^(C_B*NO|c`Ce48=_ zO8Je}Uavq|Z;SZ`%4V-3=MU_Q4=cQ7?Un=ur-Eg&EGVmO%9Bt+-m&(21Io!QR^>}5 z@$XudpnitYXq#8T{ArMK-}6fN%np2W5^e z>84Py4SEZ68+{?|eBat_29zvoknuj09yaAFl=$7&UZJ=U?_yI@pk&y34TI9grnuKa z`tc*{K>MMz++$VFLy5O_3&-_)6`PU)<=Ds8Uj3nj+G1XY5@S=obwkSj#My%Ta`IbIvutupFmmlrB%5ACBWBWzENhd3pv`PE`#y!u8vr{O@$(F z<;9j=p>YwPn-5_Ldg2y7M2xB)nHbl1hYnG{KKGN+qhvH|2UvaV` zs`G%M;))#W0$SuPQOEWjMx}!AiI0h^w8MO;1A>Md#t7SB?S_kCH6jxuj-y51l636j zBgAU7#H}8|mWsONM~_m8)h3N(KqVb8aHI&X8JSppqo<{^Zn*+wtu2AXQS4nsjI9wF zS81L3P+l(eqH}w@efw@pf^O*pQ|hRzaEnvvsVGp!D#! zo@RHUWZRUQlf{TMIJ9gs$E&5syD8SBMOJ7#fGxeRr0Oi}=)hr~}fciYIGDCc2kQWnewsau&)vm#mri zOv6TR%cL%pMK)z9l-j>pd(DNCjlt#d<}v>eirgf;%5@P}8*ksYe+nvY^>q?>`yLH# zVMtn)J$z#1t3+_28K8_EjXe3ziCwkeTMi|jhsfW|$|@fz##KKCe1)P&(}XE30N4%jOW z*1E&|B6_WHAbnk_=>VZuDwf?G2^ zUXeLenv1YK8FAsG%!fMQYcZuB9E+UGxE8vh3zQH3vS#uWl&5@rFtzy{_AZpq@ncV~ za!rnd&nbA7>hlbvLxfd%6iW4YtKt@A>thGLf(ChOsVC?@-!Qu2myNu`&4MCtnQAwR zxL`iiT{0GkmGvVNyL^mSwDQ(Uw=`Xdj|kSV4%Qn=3Szw83Mln$$`?@Ntu-es`>f}o z9(M4vBE12g^p1F1+US|I|P#$t9qqJgpE{fa^W$EJO$4VteZqg0r1iKa+ zBCH^&tT;3{AgbbVNBb>@5-#pE#)8gRa(}M99m*7k@~lI7!=dbQD2E)%UHR`&c{3}C zUV6V$&!Mz)C<7eI5{I(Op`4W)y?uKBW%nzU97-35GR>j9>QMGMl=I6B(Ih=G(;f2s z{gWm-l#UK%yhB;-Q1&>K?;J{y8}HBXW*&q_4&^C_veco-e*Gv4pxLuN>j)}zD2XfXPchS>$p7DIA9;pD*#*TjziGbw zH=XuwrCzvSsp(L9I+SM|${L6AsZ=<>IsF+&P>~n!pT3+!so_vMIh0WjWwugMi~htkcV zOmrx(D@Dz3PXCo7=r4y-eU0I{nZ0^Alt~U{l|$J@Mb2*y^rIulXYKuyB{`HX4kgE- zyyQ^i8^7{~`OWDsI)X~R${=q{fk zKk51orK?ulX7vNKWGZtV%G(a*D~EE=p(MO^e?pmF#qGIF9qN@l$`XgN)1jPpC?#IM zf3ju{WvoSU`KtJp7KzFy4&^sdu??Oun!j=X48tADVuzCFP=0hMf$Q%dxt>EA36bFEQ#yAK&Ru;$EVh* zm6lpJwSN8j;)v)RlUM0>Xp z54H-EbE4`Nu?nA0ih9}BWoB;F%;KJivwfms@+vNf`qqp%`bEj;yqM>sUbhL~uZmh? zc2N;?HbnUaddBd19%F3S8r9P#B%01^YU_#B zOM|2Hnk7fq>EH=j+#)bKZ^`87>{}jT>;_B|GAicNEVEDOyBPNRhcT&9-jL|L^lxGo zRq+UY&sL4jyL2z6WsKP;du553ybe*Z&&GR{yfbxUKM6FORT_ITVmB7^jPT0R;F!F* zZDI@4Ji_S}0nvHuro=uSVn!S<6_eLuer#R<2dTe(S!_#n6dR-nnZWGqmt%1+jeA^P z_RD3Cy)1j0jh&j5o!zNPIo!+Vb!rm-{#s9?I{ry#;>V5j2+|Od6oowyDYn$l-<)Rgto3YYKT>M@tuk<4pc&_kc(`?^_FjOB^F7pY>1;jG>jBKu1gE`uZ@N=^+c9zX^fWXu}vdIjn~l95-l^aJkjFY zy|M_cn&t96Q&i+PtX1AmewbbSeI<2($Q@EILNxs?wOF+>KKOlxfv4vBB^Agt7G~bu z-!e)5-mro10nz;KcN9^g3tGaLc zJ(0D$ohz^$J54Go4lQYwB0?KgD<=AX?P~2aPoy5G9_u^iZ;|y)^+?g@*M`M>y`_oE`$B(;`e5#3+ztoBq#gDqmi}#Pa){2Pg$uT15 zTUTlHeC}J6*RpzYoH+cgtE6aBJ-L`zlAIhi zgG7gKT&Wet7pkbvp2Lpgr4BpRiTuvPK6Z3+v>Qhob(Ay@{)}rrQ1IwtMfBO9xdY=A z7NlS*6&NzJJLH9#*D#1$OITh&+j6olw+Af=*(Gy_T!@Io^#UHqu!SA3sh-Ft(jiTV zzFb9%T&6SgC!DxE!729Bk{&PIDP?%1-e%#L)*EKdkW<=hHnYQdVO)Mle!aita?=@~ z2F%agH8*qf{LCG*@^b>(y~Wii_3j(~9~&_q#Y7TrEmGcPlkeG`vwYCgkDY3kxr&w3!gJu;Y<}w!e#`-?;{s zX~p>$m6YeoE^}{?Di5bY_0YtaP~q{F3CNktxhWc3BHE&&);m z!puYJIIfT@OC2|H*e|(U^JnA)eo1kjE=|#;3%V@qct}nngV_xyE4y{=swT^6zA*F1 z{>&q&ENoL+xX2ir9PE3fnDF^MHPZL{>ta<}TKU?KD~DIk&0H`)a|Ij@Z+qkQH*U|E+^}reO+`pnD$6uS19nkPN>*&FfFBI!IImBh5Is>`k>00 zNn+afuG-?r#aEn0zL$zh-_w2TT(h`6?xZWm z_wTD>!AVy~@$k~{sKDN8wiElq#Iu`{QywY^`A45V{;*(vX26X6Vpu2gBr1*A`3luZ z32y^%dRdN4u=LUqaZ^lf=!)+zcEyUojpBdgj6i$a$xMlR)8(Q9dVsHh$mRV?z;QjWbjqo`Q3DLF|b z9CL*~(iF8KH+kF_20yI^4f!x1r5Du35tuP;voWC!&b*cglOdXDo8`0g-&Y9)ehRdSOql`lU zjzRI<-7*JbLix*sExm5ye34tQ?C37Mcpa+{XHz-`T-fmd<4VZU!+ule)d7qv&nGo) zusLnlIkU#9Swd$1iUYiF)(AP%<(3$!n;Pj1J75zq3ikhMo}u26imQ>T;wy%do%g8$ z4IHVS3p01%fcgc>^XU^r;9I^Zf6^82R!Mlab(!xDmS2!{xv(&Ix5^~gVv$`pB) zDMxa5%Uq3+nOD#iMJn;)X*^((Xe=z)c#TKm8yUkRAt#bYLSM+pGYejbMYYpn-DA*8 z9y)ozI1Zm;%%d=h?X#|66wi^!X$^n+hba?wkxz2aUr7I1v8RmlxKQY2hd$`B%Q1i^0H5&8S z?X$O!Wc&YYUon|T1sr@l0brr-&cFU6Hs1wrSA0p&7tO`=&oVsK#Q|~xf zgPw7eee!&or&8aizG777sqIr|oN3=9a%p51_#}vw>s6z4yPX3xSX^Jh(V zLn}<0H3pC7&60b>gtPKQ;WP3Apn680k>9KspOxhmk17kjY)0rK1XRhN(&~%1ZA{J+gW&CfP*<*L+$c2C9OwPIcooRGVI@3;^-jvos zlt1P27fsifEh)->jf<35Dn|H=Uq@6767Ie2f<>RN+Q*15cenzI;rRm3%<&?$cddAl zwl*|Iq(z66akm&Tdi+46^|-#H2E=Dh?mwjOsKEo{TZ|YuZn&WzKCrcU-~Pjm7NbXu z7}!52K4*0N&`}cyj>;K5?g^{dukR=wWHgr#l4J2}L%7nA-_OSw-HbbUkc0<;xR=4* z2lrFZ@^N2}dq2;80lvS8dkeg2moqTF`GhCpM-Lh_aGWW08#rPF6|p)!t#q`ReZz*G z#|#`LTRY2eG4^nBS&_fIUT~B>0t4!Raw;Iq8{nx^9C<5Eeb@zWJ79zeOF-XIW(+2X zSIy$#)2@;M4~-w#Z^XbV6U5m3WR5FtpK>)4?#HSX4fhV9<{pn!h0n_LDyp^AeXX9x z1kq=Cy#Vn_cC`S};0ITUqD;HX53X3TsCA7qr zLR@GbTgs>T@{-Au-B>h6cijE)kwBALfU=a<3tzJp4Z>IXTFBp!S15gPk1*uNWBMuD zfUnuO`y1Qwm2ta49h7zeU)kdZXbA2Rh7Y!zq4HIHgi!)GOo>%Na`*_N5lCKeM;I-% z)(OPv`h&93>aTtih0{%f#_660^;La0fjHdTy^8de~^yY!t!! zcZ%d6S{$oL{uOm*-2%j{<=^BUr&@c0#w*GJF~Mg*EaX|Oy#VT`;@$>jgZzyTKr&VQ z#o>>E%=Ivcxqbs;uBSl#RhI$~Q@sXas^NGxqYLdoIf#oe#)Bp(+76;y2S7~gB#6me z17+h~9AtA3NaOsl(3wmKh{;5Qm`phklc@$`GHDuAO{=-VhW=`9PkAYSMYie*TOaskM^ga$tuCiS}TUDUbe=9SiAi5!K}SLh_yEb z^_TCW`5W@D0dr#f4Pej2JwU8|7>G4Z0w{BS<(&= zNB&&?#o;GZ{@+1lb(cX;Dy>)m{uGa*(jeB93}Q{Swbm5G>bioM!lNLj&>O@Q27#Eu z6cAHzFV(mn#Ln-4*m)a>op*uQ`2dKWPk`9tG>ARUgV^H=h&@6BO$W<@=wK2E4!U7~ zO&~3-2jYO~AP(3L!~wg4IN(#DA{Yi|T%?X5whRWhAHtbGcI&#m)7L*-$NFjfNDc@Kz(=Qy=RhoOT_`5YMuz^z7Kp5F2Z$5z1+lt= zASQSW#Oi(ojaJqD3>u>-ApX*y__>fv6f@dXf{OxA1K31UrqH2tXQ zlBT~wtgcuov$`^%Y)s;BR0XlP6cCGR1VVB0`mY6$)wKh$x`#omt~ZF)4F%<>tmlJx ziE$ppiSK}DYf!j3UKohuMS(b8IS|Kd0dh0LcEGMG*Zv@8I0{7jCxV#ZG!O?|0OEkl zKpgNB&=8g2aS%-}0MY7%2y>JUAg;3E_}i79p_glMD~KuV1aax*gShlAfw-xZiZqvA zWle)XOkpyJDXai7h3%m3s?4(>nF8j&r^PDxfhhCp1WHkr^aU}QA)4lac$R+z;&ewr zobEb^(}hHv(=`Nf6xg5ha^#-w~!yt}wM$>go zMe$09tx=k)#A1F9m;#a11~fv|-VekjH4T&v&EJ>@;!0TzVyY`aTq)~7OlBj9DS&d-B9&VV?{+aRum_dr|=UxVn(uOL?U7l?(_#k(%l z(m~X+KrF5&sGpn#SL{GmmkVNbvq7AA35eCb2x5Y3K&e}JI@ z^5p`xU=XxQX!b==mI@5U*BQ7+s5F@tR)Ee|Tlry$e??DO0g@AXUIHZ?h zn=A{8Jhi+rqoiVsc@;`+Tg(T=N5DJVWS0;$3R}8&pa7h^@wS*IPzGRQ^v3jpat8Bx z9a;iK-U8t(9p4cwu7#&1`W^@tFK@^96TxET9{jf8nE7!J1b5{de*t3b zpn&M2k7DbaA{IxaJsOyd7J2g*qZfxni`*9iOU2YcWCUf)*YpzNpAG4)d^?m7XR-qm z-H&*B;-DBf47m04DAFq`BRq;spUOm!BEM0Y?or^2M_J)e-f<`gM94K)V1_w}d~IUh zIIO?S%X6br)1f?EQk+;@yj)coCSQZ_W~Q;+q3jS_u7|~m$%{%xi?Rhlk>Ni$LZZak z?BEKb>y5BdB7I3n$wqR_4I!SPb%}=@${!A;g7~UR#R@(H#m>IL720mZy5`Ny?@NdB zheHX&RkyuYni$?Mu!8TgFwuBd$wc4wrNp)N0p)xLl*-%uiR(jO@u8?yG0gEUviS8} zwN|AZa;CVxHYFw8srM6opRbkqzy^2Ow8tLU&?Tr|MNxiDO6iCPy^SqOyqj88j4GE_ zN?cunk7Z=vbOrg=uPag)lxXXlQd^9;>1ri%?^W|#ejA@#+I-9PUX5D%P54m*22ReL zX!Pmxm}Tfxd%L)Pz*QEV14Ru#ztAvCU<3XXz~|R$31|-rOf$z7S8uzbEDw(qcp_@+ zh)#E0(V?mOvHWpB+m_~3#RGKQmOHNapwznh@!31BI@P`XnvEPiVN{N@1U+!Gkz&!} zCMv(Gx~{BoL;DYOcGB(Qox9jFJf;OzNKtjlAC!!8c4DL0Q>s>iD7@t=UL~c4{<+Kp zqP>kG?8CH8VoOoKNYUX>SG}m(4;)`ykEos~-4!$cbhQv!w_L&1Qo4;8ox`8;WDdLk z;Mp3*n%k}yEffBSaJkodlTRtJ=yYnR=rycVuqg6zT2)cP~JVF1^(-T)emj=T6E1 zpER*?mQN5rwx97yWTD7wC;;ntY4MdA_t^~1bZCa$*ROpJZd>%UQRH5tZ%JReF4N^QG zd_P<{d4Ee&Q6FpHG9o#_?4&*l|66Wy*PRThFIM-f9-G&vVf9ApgYNh!IYMIc^7>Wp zRz-!R)}I|ZzdG(UaIcAb${V5cQ~RhSQpJ`p!(#HPUrKG8;StoY7A&cj_D_GSu<6;f zhD;%qzm4GgYFh1hPlWn-de^;aqv~0OQ-7vSooz*U7v6R^4NBtc~aE)|-94ZhhPvud~7`?@8rVtDb+jzKBgX%H-1O@jGCVA^0yvlhZm&d-W2zYyzqi% zk$XIiGR@g7H#En+1@0~LT5ia^z1K68`h|jrN4ENYtS4Ij^26+or(5CP8uvDN9Z$E7 z{>V=rT(L+Vl-!rwbsFIbRz6<}YTx{Eb(GTT3;gmy%B ytko{h5bC!#&UVdetA1GnLG=e6pUHLAKNLJ3FaW(_OPtn1}vY{;tJ`u_u_l9u}b delta 103028 zcmeF)2Y3}l!#4gshY)hobM}yg9v}oF5L)OhRH+h?F1>?v5NWa?y>|u?5HZq3n#ch} znv|$WQKSn92!|qFI?4auz0Zz0Jc0yK{@45Ye%F{^W@l#So|)Y=eZJXCwp(wV`r0}< zvsSQp$>PO}MMM;g(El&xKO-WF7Aamhwy<8`(pOP3WLJEOoO&^(@h!#2D4L08L=Q&+U7cepu3@qdmIpe|OPt!OD47V=U8p0!k)qXax_Y1vl^ zcv8`xbm3X+=Tub!o~L{js|28E`ak}W@^FX}@YhBApGJXM7AP;I)bLdT|FUS$(kMk6 zEwd7s+A`N$34Bt~o^;_!^?TMSfzMN}=1~Iwq-cLu`FLHBy{eMxQsB4}^w&jumPSu* z(<6rx^tX!k*Z?2zQG#A{Inq=KdQ#Dzbm6i3VCzEVxk}`0CHN^td)h^hYjq1N!Kp3X z_bI_oFWMgsMr~1o|MjA|Z+g0$5}ewSd`$`dyG47-03mVrmA_p&cT+;1QnaUC44DwF zJXiV5TM2o#qWy2fy|a{%7g+3RmMTv!+S4xn3)i&AXV>q9Vozqpf4`-qrf6p4 zQ01cXuay>wN+^qF;?F;JxTSV8V-UzIPfDWNEu{*UJ_JH{)asVPTlD50JO`|nxtLO&17 z7py$JXn)n<8Lszht%N;q(Vn;C3+ksm_spMT0^waxD32BG@rr-v^G#cnaHHV+f8tL* z{uHQ$zsT}vgA)FD(H^h(o1bS0&8VcNXsKx|+ZNlYWJqm^o2+E`-=h6lCF`m+QZoGG zMN4s$fqj$=FS?AgD;b_vv?pDhc23EV+A^=8k|C8vOKpc2+#dU%${D}d_FC$f?1fH1 zd#ULQD*x^O%RPYq?f+jrPFc!kRsOl6{gZ<~!`RwCn(nVBp#5`8``0(ey|sUEZ=YoX z|LyOUr+y_{v26VP75p39r# z-v90Y%RPYqxA#BtQd{NeMf@w@w( z#kMO&{-L5hap2E(DnMyau4AD zz5Opo2lMN3WNf1s_Fs@cBy324v0R4@MKxVM&yz4|96@ZbKw+ynT3X#Y#C-ln9w zXwTE>1@_TWJ>wU6bKF}?{gS=V324u;XD@OB|LyTx>rM8?ut&~f3(VnN%3+$t%dd4sC=D4?(`Xzgz6Ttqz)D`$||6l6zpZrsg8wbI zfA400axd*)OZq1_$Gx?en*L;F?Egz$f&cdZr7r*fmHn?VFJ5`BqCI2Bn!X#97g9Qg zD>YLTE#)UK!J5Zx{{0DPp3CvCPe4nt7ytUraqs{3|K%RQ|Mve`PJNxIJj$PzYLk^Z zsVVJ(l{y|pd%WT~KNoA2I?q$I=joJ+KJi|m%F~MWw2S}3HSMXh`&$!;x}n5Bt<+tq z#MjmIfBZ>lie-DAGG@LKpPHimS>q*9O8h@xTC`~LTA^VV+Bz?^wG0atr-oa+M2}(V z9is0rOVFs511%_4=gNU;&WsWTg1qb^B9Bk7n3>kAikOqet4dJGSKIZe^meaa?Yi}O zqf7Tb;$j-Ff<;PrR@Uy`wq2FJihipSRrnnESSzPmo5&U&#vcXOFFTXy?}IXgA+$rhg0Xd_;4 z;uGdf=;bpY%4{;ZZg7~h^!q;5v!rY?f345Kh?Esm5BZeHp0eWHU7w3JQdV59WGh_A zs36jh#ylY+*!A{-;Dxh&8cYL zaA)fdepSs*Q@#oackUSF*DSw#SX6{L%YETD$P6D+AS}!oTs>e*I+3)|&)YfYfZyF9 zcVk=&cdk0?cc|h;Y};%o-T(d^pX2 zSw8n5#=dXg;_oP8R1^`}!=u8Sw{H6TbJp&P%#MIK-o{;lS!0s>;sd;$2G$UoRLG9p}D{v4NsT&?GJ}nhfa?6z;5?5Y(e+$|n1d1m)-PEh3CP&X^|n zu$jgfBq&$#K%Rmk`nH8R7uF4a&z!tbQMpI(0doEH^IMX>~LJs#_jbX=62>Bsf%8CJ>h4f{MSRMxd zeId7bI%4(bH{6-c%O3W&(Z#3;bJp!|&&-L$a>K{XwExa{?iO>l+bi0QiNxYm_6QFT zk1blV2>*Y=s%5FE=>L~c+^RH8GxMHLs6DobMcM7ADE;2E7?4u=rfy!15v#Vm`A-ml=y}a0W$R1_Q6DRKKAG^efh{O1}()j2Q zO%J2dtvJyGmEI*PSd=_D#GJ$S9BFD*u!t42LbLlOq*Ii(xKKEUxQq){pXws`h&?Jz zWOa-9<=rq<)H-6fr>SRt)<^$rI6lk%Cg!lOS9qXz1ZK6Sx>$)`)&s^zhq!#i9_Zb} zM^PSDpLrCw?o!vH1V@Q{N9{ShN5dJTL_OURZ&;L=C^1NPBe-IeNHFT_S(KJhVz=(5 zaOWs-OZR-ZPn5`f3_b-9juMr1JL+4M(NUs{?pg4{C^234^ad8?c9httds$fbPuA zuzh*NL)~TIgLy>c&u~-tR31@F_nPJwC3Cdsqx%3H6)ooIz6BSI7Ta|@T3D1)(c-f1 zE-fue-)P}D0gs1=M2j-Ix4>hgMO&l3l||VYEynA<1@DU%Ym7FnElRmp#Btr*+gg+x zuL!S`@Z@$_&%7d^?&%#YiX)$>r@LiGi}GGRF-UjY&d8o$B+bWGMOl|$ z+|u2zt3~M&BQl?YD|fRfM`J`~-PL+nl!*mI7u}AY7Uf|9F!9_~0J2?>;UZzlX*V4^X%IKI+at zK;6Y#enGeZ+V~W!(YM6h&KZfro)>_muqFfquF{U%bJ)M4> z&OWP}RiyP&bBd5OYJO4QOI;$)r%|&8H}br5Baz+NST!$39A z5-hUY)F$G?@6=3UrcKT7qpHW>vUyBH#Cz$^9TT*7=dITGi@*IITS8hs8~Q z%(`lzIqS~?%~>B7^8?fdA&ExgKh9lRu{f7KyT}uWZHNzYFOeltT(_&4g+43mzO-Wh zt^id`?5q|L*8{P=>;AamI^hUX%lK?T4MMFmCTiI-Gnd-Wvd(!uNbPME168ckC_C2a zI~AMTOvM(>@|?viF-}$EZ1XwFthAzMDZe6?S^6YivCdD+lhwzehKV)bV{H~XFxbQI z)r=x8Obrk@_oyKvIG5VdGFsr41w>GiJIoaZ(4pz!-bI}O;p$O~4KIAX>ycvOS_X9e$+PR0-RxR5qk6`M z_cEHU`=YccoJq}Po${S%oJlQVZTOv-mPxH_ZTOS(NG3JTYTcVCGG$e}Sz9EEaaq*} zYuQBcSypw5<-91LO?}m`^{(XP_;FPZxLRx%Q?jWA{qk>5PQGLf@|#e5pRjbxnnPU4 zrslNu;>3=Y5g8-YKGymB#N-IP#p1peEAhDvFI;`zq2)yW?3i~H-lZub58J_c56h0o z!;Pkg{okY=df$bN_y9O7SSxMQn+m?`#-2G zj^tMNXKt`DIT^Qhy@rpMdQPZ)*;UzHQ)Z*snMaKc^46~eReRf4zj*IUan<@tcJWHI zTGY0NLnT)cQ;MkR#kL(RXc+I=Fvmu*wWpdz z{Q8Qz*tVSmYt_WeyvW$vvuVaJnelR7WZc7yLuv?QjCbbDuYPB-9cTYtHN}+GYA%tv zfSM_!m**f0oMLDN+^<~$b+FZMjTpKmp94SD$7HabW9Lh?*g38sIuG{jJb116rJqk` zF}k4ouJys^DLP+c=cw02*+Q842+w}Kzu?TL6~fH#vuQ{jF3P0BSd{lY+vi@x`2ID*-&xLCOe%p{6lBwY2EwALp<;YVHAl!j&-Qsg<1CJqRF~OGuzjc{@%omEGR7;?h`OuF=w$q#%WrdX@|Y?^ zT-~05m=dNI6}7A4 z-8N@wiYI9zhsoQ96J1gj6YbzRR=|9Yb+0Od&1CzEZP|Xyt7zZTv;FOPY#&?=?dP%m z)^=iBHB57mXVWWl`8Z{$t`72Qv&fw0p6ZxprbQ{HxtPOz(q5#mp%w}m?K#Y}IlN=< z8tMw$N;cirfisM&i5X7!Y~S|-wx3)RGhD~^M>?{7!&+!R&$E4t*=)b4mb%1e3)^24 z6>8xb>Q)=g6Fi$&d!NlW)y9|i_}X|Sr+bS}+{o9|9KlOHTNe@^)mF3k^gu0+cSm7) zRgD!Pb=0o5eY{iFE}Yq%I=Iy;&(0YIpS|DesEftmI=I!Su3}z1R(hRhL;Fm=2X4jV zJ#d->Z|^3Ky^f}do=tz6&ZdRxqUmKeb#>=!y6hWxO&{@WKXjV@#V3mx`Gz{knl$;3 zT@#bDmQH8Q*;ACNhr68h?A3QF?=ra_?xNrtzTpV(?f&W;Xnda7uaB725M8f(cFjJC zU4LzeuHo$3wXeHt6uW-^jyT%{U6Vb#?jFakrQSr>f^6#BUu18JrYhbvDZV|JG?q=@ zX^N($c$ee>`dyOoHNJ(a+N!aC)j@nczA;LO7I@j^^c*MWD4vEhE%1iY-vM}84}Dk6 zYN={640 zKS`KSwZl1z_Z+y}P)>4IJ4{l4NHNKb z!y%gcJ0{>UAMFAz47j@Kd@`u zM5de36X`a4_K$vB|Jw4tHM}>2_2{K$wjF1`){~5dEL^;g{^js4zK3!D*se3>m&5No z$4uA9_KpU31*8+96o_=k$a6G;JJ#XdJn)9@dP?y{Ku<7`Z z#rhFg>M@>8@3iDn2aQx~SZ0dlW7Src_eJnH^=I+^D7AuZFnh24M4TCg-g7*Af8U(F zOOF1Z-d~SF@6qgiYLN&Si{6Vpdw<-Nz1xgU(c6i8XSLX-vght4qWF09bb9ve-$Xyn z?J?oQQ4up9=hXSB2%U%?+dO;BZp0<&GEuFMZrdgrIU^^jCq%$Rbo=l# z;WrsyPs&fkx_{EZXzXk?S)F6CE#p)gtQ2wIggHd*PgP%MTKItw%zZPZM{YL57eEui^he->gz?|9Bja4&ka~mgKOG$j#|lLyT!Jjd?|)j^>>J` z@&u<3x$fCPsV*u+*keV@gKCg7|2%b{#oDixNd6GN)ltwA@5lH}qS}15bjTymjt#1c zkbS;J$3W-7`RYxJEs!1dZ5BT)P)}Ls#fg)7)C^viT|?u<{*TpGws1D+v{kg4;_DD~ z64dmMe<^*tq6qN~i4_CKs)0^tf@-taBG~KBHZdi?Z}j8u_w#W)-a~5I!r80JLe<+M zwtRx~c51t*xLjsmx!T|AEXvl|n(D1L+8VK8jyZrCQ5kT~$8j7&UPN45rB?UaRTyXOmk7MYl9%E)YDR?i9HrG>{T&w<5`gFm6^ zzo$rAjTdX)LVVE$ABjpUW`3@Q)#$;_C->t!w067ApYg_3@gIj{uvq^=Z%mcxuG@Hc z##9-vSI2pcsWQv;FkY`1ZB+P-sWRC$q_(*8x!N?Q(X0ATgZRcE+2vDNXAhl?tLf~b zGoq@_jyjVni=k`Og1*!7YyP8EW*rWgCBFRQ^XdBMzpqg@hE|K^@2A!m&_7;w{ThR1 zDJfRm3=9jNjynG8?Xqhl>c!;Vb+U-K(rQ`}yjHzvjms~Bf3-IhZ#dP4wthy~byE+B z&zihC#IY$mrXP-Ueix}z7F5}4CX>rIVujX zQ;%C~<#n!IulDpxed((Ckvs_XhXQk37K#sz+2)b%sky&Wkg zY*DlN_1Eia507`%iWF=0ig=@9a=a^Nq&TTpbg2ch=bcPvsa9|1E`C4 z-Ona`cBs_@e~i%Eoige^&L&#yK)a8Oy30mg&un4}>eTK=-Cbk6^4ZX5#76PmPBnw0 z_8k4hl{GGV836;biQji%Di3Rj{5#d-f$!?gCj=YUa%UA`yKsvOS@pWisH^K*pG8#P zg+=_W9S#qsTr!LJMz1Sw)WsQf>F{eIy)La$SIZdhr_3UMqT14$ zDT|nth+pI1&n#9Z;?D?~#nnVLhee2qiTH(Z-^?QR8?|C3{rB^DTLzr>czWhnGyaxf z{DDVj%5RCwcs`wlvbeu?ro}Jw_1CdA-rL#ppqklYt>!Hz9a0+@&v>P~yiv9bo;Px# z+Woz^&XhN*;d!HMnRufD0p^XarFGxPl~%t|Bk{qhI$@RcKdRtYJ6 zaoTB+!Z97rtt>9pJ^1 zcg4^zeca!?Wb+pll5Fm;n}NsFkrtbm{wK;RiFxmfxyLa^CVdO>M;!h4%FbKI)m@gr zSiQvv{qfzNtSI=~nj=#Dc0#=oGcSYwCe)9eYiUNEcu6L^zQ?&9GXk&9WLNueo%&gI z&CetPPN_w$MY209pHj11tkZo&hhNn5)=$%k_|y2f&0CZ_qZTe*+Ja{TzspZHJ|1w5 zFg_-_-ZegMbiHkSTuL{+xc{pf8Ph_Q zncs5A%o9Up=G=Cj`0}0XYL#BpI*0hTGK#b})zV_qIrXNsnpIzdT%yS>)nA-FudcPW zu;`1COKf$i+pK*RV?}aYy`N;P$mb9Aj|W^OjgN`0LdM69uILBi&D(088v4me8GMGj zUt@Q_lg8(Xu0zJhjjp}M$Ca*K#>WKLX5-^5m-D`;c~O1EKF(b~%w0e5zOdafXK#5VE~Jx3!rxaOiBHnXBXQD4?74v>(JRo2BN1asv-9^P(eW2GQa=yd z()@fYNxXg@p+bVeD#phIS6SoZELYJh;``e;3^sSY#a(~zH~lcgh0Nk2 zU_Yy8)z85oo`a!x)V z@p#wN3!;Z!H`%CLgSv*UmKVf=2iVnkqizf8-f%@+5U2HaS&h2gsH^L`;u4OBsJnbY zzsF%?yp1kV^&$EO8tu*+b?aPWhx6EZk^7NaKX9>2@2elq2CjbR#n?wU zIr&kCgQ%ZQ*Q0YHZ4yq--Sc`o{cOg&63>ZJNopDEf^(u@lG-mY*0{z~>UB;e>Ftxx z>Fs$+_x>u1B&*8oD|FSx_U;Pz5xwf zktfB8w2s1o4%A_B^c`@WJt4AsquuF~`gq)c)h9%}UboVy;|2^lA>Q*w-ye)RZor@u zVw<;PTHxLjdS8758oECGS+q&#s2|wHsMFW~4Of|;#m;n&u7THo*4ybDP}jBfxTxUc z$Q`)RsN=$qKQ8+EILcVt9~WzU9Q^|C9@pD*0}_vkQZ}^z+Nk4eV%jm$-R4*xn9iuv zH=u#*&{2`s7wvvHW@OaY+O^=QXz7bxnS9ha*4Ocw#ro+HvBBRFBRcpyqViY9Vq*s` z6?gB*KrF&zo77RS!X~|9^qXZntM5;h(JS+bHv=3ctv3!jX9hTqTCI~0h+e^tn!Y`Z zAroAs4~SjCj{4TDKZ>j&j&SR@KZ+6|j;vlwe@wzp3|%v3^i6P$g(r+?n=FC@9N}V2 z?odB5u|iCsEz(E7$GUC>#kvqjX}kQ1nBVT4JCG!P!N2C<+%~0y2)JCa-QWm8sN`91F zC|OdJRrm_F07amTM;VUNALWwWqMWo_H02Pm7iAa9W)vsN3Y0}CAEqcL@Cw|EvK?hT zN?(+2D9cd>qbx+3hB6xE19$O?wkW^nu_zZ&mY|$OIe@YoWj)IBJov{}cWIvAqSQsH zfl?8r6v~l&SQ&i0oX_dVjw2$pW4^v3OKyk1&~6q^C+4RQ2@nNxIwC}!Tn=9`DVHNq zOv>%Bi_MYvhw&*cIK<{0tjj1SH4ntUS~|ohJr!KgUflU%q+>y#HO~*u{0YG`E!GA< z2%wJhm7d#U!ZFukO-L&~s_FRNx+bl&M=eLP#d^S9 zan@ad|5RaqO~)RK!`Z!- zH8u+tJzjI{*Z=Li{U4N1?`%}Zk=AP2=WPDE;}?rn@!`~heK<8stO#y^nN(hMvH@<` zUYu&=$YO~UNsaLA%)#S$wDz(infaIG!}#NE&Bl(Ne^$SzvE#7T8GqmNR>JXP#aPIp zl!C??sv+yzN{y6PXUaM}D$01H$CuCqLyzRuVEn|wk#PvzstNJFbu~%--)3aPbyC^z zXNVja%Apj3`eA5qr5H5HxJhM*w|iG!eGi&!T%8K(eEiqCc7M!x(4ZgYc0l8`XkRfa|y>V|KvxWMD3O5kA3GOpH# zxH7HNY39;&LM4}`52P=RLm37!`}>goFU>fVC9-ZEG~1~A9{Rx05r|X00?je%?m=@6 zS@GuPRew{Ok^|)BII~izCDj~ax=s+&4Td=XaS(TQD#Yo}g*g3X5U0Nh(*H#Shq52y zq|ZSgSdkz54su@UZ04-(5T}(1;?-PIrRCMevaX%fI}j)R9>ht{hB)a(5GTFdrfBY2 zuSX?kod|K(__Mh3p)u<#vd+s_QRW+U4v6hufq1w|$+~*bM@G9&5I5&-h(RVo+#KzF zaDmZq1@y6@9Z-UypCI1kveZN96XR+C{{4SWCJV%NMIcV5lB{b6Ei`)cf;gGM5GJEx z`31Mb=;YA(beOJ&_^O>%?OHmPr=evmpUbz16*loDVbJwJ#?F9s@S zyhY1Fe8;we^y8>`D;+_e$LUC(Hp(Kdg zXrvh0+M&9?-q%3IdZT$gh`HNCd~5ZEn06S%v|}NrodGfJMreaE$_|L5 z9DsOU&O%=rSMNg`4f$!Rc{w}87eygiR~O=!*p^cLrN%)#STiC0-?nrpA40tGBB|{V zM?MPii|rZrReZg_CL7+B3dDc5!~x4d9I&!fEvb4^?IEsKH(A#o;(3_}aRonsxPl9y z_WF7ERz3r{gzF*hd7{+!5D(08hzI5tWE>bJO?vaZ*dX=|mP!xtykvoRUP?(-Nssf+ zE;Ufe6VMc5k{%GRY7h_BWQdtQftYDE#7yfUo{H@dBkhAY%1NoSkP)ebauZ}Gzfdz% zMu^Wq1jNp{rSd^*#jEWcS=^_$6)HIQ-Vo->E3`i-6V)N4ZwHslpW%9vlj!o)FmMvpK1`7`Zb7M>Pt0&){0Ai z%zY9nIQNer&ixD7d^^Os{{(UF7a`954#c@9L7clSgE{x~5N{tT6%BFjC5nNZdpyLs zw}3eJb`U#vk?IMp6<>9b>%I^boclV6bKfnSAA>me%Mj;o$!N|!5aQg^L!5gA#C6XF z@%9C!ib9-wTt|>|Zv=7f9U#uVE5y!yr20dAY==VSjFQ(X^jGG2T;p=Dxg zN4(NG_heMCV?ZYJ26l)mkQw4@Ee7IRl!LfaH6f<03o&goh-q6xoN!mEUJ%p18wfJ( z3}}>S)k%JtStZ-Ag&1%X#Ch(QS6vVT-i8=3O=k1!STMw|W0@hQEdz0s8W3k!2V&aB z_}|!a$9)T8+<_3|j)oX_62!Q(A;w(`aloxoJ0Zs14>9cphnM4(D8%e>5Yx4k?YhZ!y&?~;jI;`Jf0@|`GE*YNOoyb7 zL(FsuVx}}%%}jQPnZhCV%_bEIF;YILoRPh{RK2Y7%S>Zba@KD_oV5mVz=;sEe+V)A z8i<*;Ld=v1ahraG80j#?QO-!YAS05d+yt4)FPoVuBh=iOYXrp3xux zuQrD`)h^Ix;~DG^@msBt5Rd3oXe58ETn%!VEf8yG3dT#7N&m zjP#4VdJQtBswodZ&NWk{*)SU7fH4pgSA&?i5yVXGAZF?UG1EH`w`mx}QKm`>h>;dT z{jB&+i?^}~93?9Bkl)=MmF-VLYelCX@=0;zGA9%TaYCga-l#gnEocHUR(FWg9RzW@ z!yry~BE;zmh@&i&`V``HHxvW81wTOCf?ptR!FhcocjofbDs)v?(ajKdjiC{FM&A98maXV=e}J7Irrlb=Y9#|+^<9Id{^ob z#N(4Tw|OeUA+{?3ajIpZb;g;m0rBnJ5JGo62W=od2%hp`AWz{Gh{Mc;xE}K%zMWS? zT$ybUv+sqN{UF5bzd+3HlgIqAI0WJ-5fFbYE(m?8KjjXkD#)LU8_LUFA^!0CuB@8} z@yFt2Qahv$LHx1!G{oOoUV?bzn^M8i=Ezaec*Xpr)J$xrA3BF5x|hOPKx@b4wzmazQ*k1tFfEY7kFPLx`uR z8N}^uE7b|&>FEiT!>=8&{3GP$=@5_4hY;tw*=VS@`(C#D0pj_%3^C_Jh^NAq*NhYl zF;XUoks={Zt4LlY!p#z>WTsakW@-W9M@#(I-R>VP2g;s9ApB_gwtRrUKm{kX3*v;1 z$>tX!#(D%XmOY<2-E0tdAPVAi3qhQ2F^JQxAXOFOY+u(vPPY@pE$9bv3kE~%JVI(L z#4VT#@yYu{Ufl?Bs^3Cqm$W?O9D;z7O%K^^GyR;f^5+mA+Kuk3c#C}_8}64n4{^Zs1Owp+9U!h?ABZbB5OV*NF%skwPKCJbA4x5QcxJwUcx1kZcw~-4JTj*t_Pro= z1>%vp4e`jR1x;lwh^Lrca-x!tK~acFszbcm2;$l51ToWKh?&Mg%rq6^shA5f(h`WH ztdsf*G9r~wc7x1x7GkEG5HsC_*jXuLDlN2D-03GD;ZmsJ+-pIcdrR58JH)vUfjIXW z5a+%C;@p=&ockJxb6*ef_S>btfw&z90zuCGGBiqj)L;JG?o-(8831t$(nH*WJo0LJ zh_kB=Z8Z*Y6Npc32Z&E?Z-{fB262>+AkJXPL+VTQ{^DNFMe*X;-Ljx;;Bf6xH8_w%#{g&xH6#-mpZ#t6vR_e2;!-z z4vo@(ZueFifjkz?A$D#j)fwWc=ml{;6$07jYqGyA+GNmA9uK+Q7HHfF;4T#xWLQFRk;#v4e*8K?WFeZ2#+G$7| zT*{oy5vc~H&0(5BqtVb?=>+XEy7Yw-*%{LRH!U2>NQgI{B((x!klhe(_p7YC10kIL z=U{6Yb7?X|%oz@GJAiB*@r;P zJ`Q5`)zAjxCSO7v=39sxaTMYU=^DfrlBK+PH4NhWBe$%p22JPBMGbTsLwA=N3h{+B z9{Sd}Is@X3=SppWIP^YN%+^QeJW9c!lFMbHGxl1 z5VQXTG5aZq+0#`tPfsw!QL5Y}9O z|NR1T30)AE@G8V5ybo~+b5u6hqc+4TbcDXM>Kir~+HELr6?6J!A>O!(tc!&4QTe6Ns6X zLCoZYm}w)#Y3-8Q4KdOoh>@;BjFj{$-ha&GRn6?|Clw4aQ#iy-dF9oL5T_as@%7RS z;_Ia|6lJ_g`a%pc6XGZdkTF$FSpsrFK8N_&?SQ!3KS9iX8e;Yf5VPNcm_1W<^Ys!5 zag-tu-yfAAzFpcve7n4DTt$9|G7jYH8@$K@Oy!vJhJpb&{MppKRIN%~#w?fJ(wOMLE#ML??>&`-4^m`Cj(7UF& zf&ma$PzwjSgs~8hPkE`z5RXqCh{vY`#N*Q!;^`R#vF|Xc(GXA1WXQem^6KXhPLKZn z+XQm1CuGCRvf*`z=Odt&nKKK-Os_yZ6@?&XDg!Z6BZ$*#Bh?XNq~4ImOyfXinhi12 ze2ASFNiBnzX${0oiSp_ph)=|6h>@(d&33^M$4d_}QUML*-j#=#sTRacZ$Qk{9Ac(6 z5Qpg|)f-}_!4M<>p*mt#Cc>g&;X4(uf(+{%Y1&EpMKzodn?)94al!rik z%CkUBTo&ReH6h+E9%AAq5RZHp$o>8s1Ty;=h}kDY%>Dty?28}{xK`>*h}pk|nEf=w z?AIY?zXP#vl2n>Hc>bB)uZ}tEJW@p2QiaRyqPHwV&C*q86if>0dXVBOVyC=>OkxHck5Ol zGrcV@4~Lj(2E=g?S0H98E3ejrIMvqBUgL;%hxmnP5X2)o8e)*e5J%D0g1p_A5chZo#4~yb;>w(d znEe*S><=Jj_pWPZw?iB+yHpg!>_s7FuMHu(h99k)f;^+GA$IO0)eU0yz7S_UO=_NO z_c6rje*tmQ-^i=eics|2Od*%GVJ0b05V0JPt9*Lx`jJ)-!Jx1aUnw zK-|u}5Lc!&q;DtQf7L+lXB~*yn?TIo0^6JojqFN&GkXBU>=__tF9|XGs}OHj3u5*L5VLoLn7tpwqdcNM z?$7MwQOWEAV)lEn1>^C9yy)TsvF?+fO?n!G(q)DX!#4fQA z=U)}#teeQIogl8!0EnwF3u2}ZAx2sVG178~k-mU9>2IOV{Qcig2KC=^9fg?gSK06p z#B}}*&2)B%Q_Uci6=J$5h+9%hUabys()A!tx=%wq|7`f4Y&Z&H_DK-0egtt9HbBg| z6=Kdrh&lH`%y|f6&dU&|dPnLZ#F$#TMrOlo5Oc;r+{IXkol8r_LCjeV;sl$@t8YQf z*%xBYnX+AiY_|kLO#S=6Rr2!J5OW@fnDY$8oEIVHya6%iJ%~928=F(jAe9wj&S;43 zDnN`G4{6NV0A$W)QmrB8>+^`QIMp`HJwQQFNG1AdD@&03`EAsLqh?xSLnwfG!+@^vMGZlxJsUpNo zuR)wvGpW`PBlUzBX$)jU(v(>sGtGn8`4g$75HqcYm}#%nQQ7V!#7x&AMoQbv9M1tU zQZ9(wR0uJt${aa8#I?)HnCT(JOnz<5 zOd$~ahD&9E7%3OTsaBM#t+&&E|L3jL1v%@s5NF*_zieC`1u^?9h}-lT#7r9?X4(pI zn|4EtbQt0&=cO(~jP#(5W@b{`nwfGy+^0McI~S0Og_x-f#7vE)+RApFAVwMpG14S? zbsl6y(v%e-Gi`^MX+OkFhaqM<12K~e;HF0 zG9WWmhM1|HY&Zzw@~?w9)x)ygIoa+K#Kh^^n~B39Mv84r+9lg*yFt$S1jK#1A}^=yU}g`8m_0wl zOr;=ZssJ%lEr^jCL7Y|xsV)#B4TKO$|M_|$W?Brf^9rdo5HoFrnCXbrS=sIa z#7GYyMhfa^j+YhUb{2r#fB&rvGSll2Gc|&ksSU(T-62kEu+%V!nWjSArlk-gt%n$C zGsM0-rM}ZZX8I9grfX6UWJ9HsnaK_@QxwDji$cs)72-C%2{BSfh>^NOj5Gk!BjNkM zksybeAvGIfrX>(FZH1U=AH+-tA@==Q>NLbi7a>MU*V&XEVvjIrJ^%g@4Kh<%dASzE zOwAx>>IE^A1~Jn}h?%B9+@`q@Z?a5k6~steAVxae8P7j6okt}z{U*EIlDY>mljSWl zNmi+7h_{P@c=X~RMye;Twt*O_4`f8rlrbPP&4id~F2qcWA!b?w@g`qOB|^+}2x6wI z5F;f)jO5kD?CU2L46Wtw|H47;Q&Fin*{}-4ObsDsdP`m%05Q`zh}$$DVx-R?Mp^?g z(q@Q}ws*nv&rEw!NgaTg=_JHVcOYi+?rLW8huA}v3WvB&5fCF4kymR#oN6QJFiyWi z(b|Fh#ZoUQ%J{ZpFvKMDAP%z(;_X&JT#qjyejD~Z#FhCOV)ly=vtNgp{UOBcmTty) z`uoqHghC)@&kQkpEX3@UAns>%h?9wzst+-HGl-M!A+L^tn0*Gc-N?QG(wKb($n0N2 z%zg~wFc%=+?h3^0cOho??QUkz2r+wZh}mNxW-kdbdwq!GwbUfrLCoF*V)oGxvkQpX z=R)k9AhiTy_Eiuky+d9-05SVXh~bjm?eP8!=wS|MhnOxO#QiJ-F;jJjnc^X4dJ|%% zmJp})mQ)Xjkp@DHG!0^;1yFOme{c#HgY3LQY7N9p8zE-eE3ck|nCTX@&e%^&PxB}T zLfp=b5EGYzI7&5$cdOkK_jfW}g5t`z(mrH$xkYDI`K1y?MgSdj9KwQC< zz2*0RU!anEvPEhK#N)FM;_-1oJU(|IJ`s-~_Vwyx$_DZDgh1T)NO`q1#M7fy2YGxN zKztjtfp~nnLrgLW;xKa|-fjWJ)3XfXYHfnJ9(y2WKL#=TX^7c>gK&EEpMM>1o5v>$ z#9{J5JU(S09-q1pk53zUwLio?9wY0PLOea|r1ndlfp~hZKpOuwnmZtGoGg{OuQ_yK zh{vZi#CFxB;-%U{9B;a;nCA!s@&W)v-Lp(n1A?|yBd37?x<1-K1Zai3@LOea| zAfBG>5QChBILZx(ce?|*zyGuJGnZ9`xE?tnW-kaadvS=_D?)tvyb5u^x>5}xW^WBK z`v8d9$3S{^hcc-jo_}_piAw4Nh}l1eIP10Y>h}<{AA|VhxFBZ#9b$G%e=~b_h@%vM zc#l}fcyjRje~?Ei9%A;E5VLoMn7t3g>>9-E3!x3h@~?n6$|i_U&K`(Q&RK|0&hPT7 z-vIr18}GkNp!;Ei_~_J-Y9sYF#7Accbkw-<7>GBXBDEaiL%AK|lk>g2dQ|H406hQf zd>54*FlwMVV2o5Tsd7^B5Lc^_tZM`Dzzv1Cf};3SAEC?Kw&HV@N!bN4`yq(g&qB<831ar! z5VJpkIG*=lQ+|*h9q+$TklAA(W-kjhH(n8yAa<@HRR?1BhEO@9U1xc9D8%fOpmj#} zIS{iiftdXZXe59B{Ru2*408tJ?OYJE-+-9i3y&+aJ0NDy1TlLah}p|S%-$Z_U`(Mq z#8C!AeB38$AYTy)5ML2r$jjeBe2$OFx*HJR5Xul!S)>X;d_$Ci__eSS#2eR?dJ8f} z)|8_YrjPw@7NWCCVS{ns&z^PIKV!8#g;RcB5zJZu- zFT}nFrH(;NcLrjlJMyacFmuu&5GJj^{|bR@SRUell_6%YC9gJzc>3Rgm~$}1oFgFS zoB%QBbci{ZKpc6E)OyJM{@X4a9*3Co62zR>A$Gni^$23lw8PEmXMor)55&zW0wE`2 zz9HMSlI_}SAaiz;mxn;iIT>Qkxe#+EK+L%UV$Sa%-uR%@F^HRZ4&o{#K@1l#g5mJ~ zQ$cplD3uLjy4(;qr?k9U17f=R5YxRa+YOWLMnep@0Ai%o5F>4d2Jr76J3(gJ4>8kG zh|{_tbp>LkM-VfmA8BTagt%d^K8hZh|{`bN>d(!%#?1FnJF8@eTspYDHdYq(o%5{GgX7! zndH@85T`l>T4x->2@t;!&4%3n{l`L(Np?aU<^aUo9f7zWXCS^te}}j-X-Avc?GUqP zfS5fJV)mNQ24f2KAdb>zG@gHcCF+ezejyqI@e9#xh*wua(~QnrWZf?izYtxO@)={+ zWrX;JC>M0h=oJ$xH$V>E37TOv?DfZGsZmmMArAPRtou>wnA91m-yyEnBU$G& z)>w1>{g(yg@p%Q}5*C8Egk>NuVFid=QcLP}h^MD1#3!N;#M3hj;^`R!vF~K58IZ=~ zGZ*B(uauW}K|DS`K|DUEAs(NrP?Yhd>jA{mlWCkeN;JgV#XvkgB_J+;4T$T}L<5<< z1H|mzAZG6mG5dUo$7cz|Vb(!BABhl8&k2a9=c>G#cD%X8=^^$g2I;2<@4w1!I+SKo z-60;I0T93K7zXjiH(?aQa2!u7cl|j@%z6#I*p@OP^yGf4T#HC zSJpLyxahqguAl~S1xG?$!6^_|@H2>8vQFx&33&hU_#~o|$LAEp<8u|_@%deLc_?L> zXuf=G5Km7Qh&Rax@$?jjIMs%-T|2WKe*X{hfDDJ2b1uY82@o@V3Nh2?5HoFnIO)9* zZ+ukhB*btRWjpI6Gh7JN9Pb}IfMFmzXO+qc@!7}=F5Ms==lW>3L{2G8i`iEg`1s2Jy)s2=U1u4e`mJ4l(;0h@)(Sc)MK?v+sv^yw5<)eiK4;{qG;j z6f=7|h}rEBvzLVUKGrsshBJ8$x{YTgt0lrQVhr2XVahvTmy;`Hj?msWT9l>yoUy4RO)^ zrkPJkIKB0N270Bb$AL5fg8shPp2(j}Fso4;Z&jN`1 zzE)n{0rB+ggE-Y|vR#sFmu5Q72j72LK<3O3@rft}F;fMInQB4I)Cl6VI!JYa_(TkZ z7-<^BNDClFS`4x8is^X%$u+2Cri~CY9g#XKyIg>Hsvkg{bkGcQl&lc57l4?(GQ>!) zLyXi2G9qb88<3g0L!8WDsbLT^O@)|gDa1_cA!gbPvF}c)?;u9{5n`lkQV)!F$d3nb zrkTkOF;f)80gFP+R25>THz8)~2r*N4h}$#(Vx*A}N0}it8)Bp-Gx7X0(^gb6(>{oq z4$3Y+OPz+8=_15T>4Yge#M^~I+@@%Vk;=-ewID{)nt{yJ3t}b>Vy2N0Gfjb*X)eT@ zER$LVG1C@^kq$$QbRJ@)-yrtAMd|OqdmuAeW|>K{N<~BL5(6<)9K=lZ|8^mqO46$7i zh*Pbgf$NNy>}wFez`P0Z3rq)yNk%~&W(LGzW?V)kDl zJv!ch7eNmAyVQM%+0)K8vuA;rJs-sEg(3DWDOC>Qc2BjPE4~W?ZL)-ZN8wWD` zEQr|?AZFhVahM+<-tG{@?7u+FeiLH$G#{ARgCS-QgP1)B#BGoHK)(OVqLM>bgqZzx zi2L3NV)lLzvk!*YV}#ULh}ow?ob(E*4YJ*4$e6yS><2mPU*+Xn5Em)!9CH;iLfp^X z5HrO<%v2I$ruq=4)l#Y*#7I3LMj8#d|My=6$V_t~c21C50x{Dnh?#cCs|O%X^(4d% zOP1{d=9=T#A#PYch=I$@mEZqWM?0hjPx$VNbf@! zMSuS#kkAr{nbttev>RfkV^DMcwiMzNTvES5%=9}{&S+=*&}5&FDH|CmVzS-GVDhOhx zFo;vlE3cMkV--{UAn~3Ng|GNRNbn|9J(-Olu)#+6pn#w-ARpB6R{{ zrr#iDO8b$S$pJA^Mu>g0OGQD96a%^c`?s1p75zuC2D0Is5NF*L;;e_ttCJyS{}^KS zFClJIBE(30Ax1h1G15th)4KSPX7Va3ndv^nO!ft4rtAy>vMMBI}2I8r%330VvhuF7~ zR5OUH)h+?gKWE(&m0Yg=vf&31hyEBcmP>gg>(YK=)|G>}TD_&lK}U?$`Uv7`{eP{U z3xG}4|MvHp8DosWjJX?^8RIgXVaANhNXEF|8Qn-OBjkQ5^i!#W$hDAIlH^jkmx!ZM zP5OmGA?1?Gh(xJ~L@MvI*0c9Id(WUJph7R^7uCn zNV^^bF?q74X&~A)2gIsh0MV_LI_@J7yWR(CtyWLXRhE_kqBa;rw_XJKh+BZilwEs3 zHB|Cp5bgRE#DPn!wh9af(XAK|-KqhiTa7{772AO5R%a01>H(r#y?sE=c(A4sAlfw^ z#5v6cadEu_qFb+kn7dxn8z8#%7KlZE1fpB}blguM*)xuRzXEAZhc(u4cYvr(0i`QH zHfj10L}$)`ILMD67IOteXG*NK{73-NkLn=$kq$CHQL_<{hTINfH*Ga_0@07|Ao?*1 zL_eMY(T^!0=FZYI7eqf6fmrIxAo{U>tv>!8g~+Z?gE;pl>nyD$h}r~DhVtVLO`n3; z^-&N@I0>Q)mq1(WPUF2GTrP4`PF`~9Ym9kgR<0&OKvcX28xnE)Ea6nAH+T90ZkJ? zCsconw6+yQxBj~U`#&rE7$WWZ9K_w}8xW1V1fp?auUQKz7DV4Fg6LaK5PfS1;vKRU zpp&YEdqAfY4FVM@@;wbat#BcT1AhwQpEf)RVmDVoyx$e_x}_z7c>k=HrZ$@H2Q5)O zj{tqG=yA{)Me{)zO}_uJ7Ras(K?_v#$81LH0Zrd%x}hm}qg8YQi1Qo<;;I;@TYZ|Q zX_^Bv{~Vmq;u|2Ez8yr<4}iG$o&?eKZ$LEt5{RbX0@1CsH!NxaI;*BM4D^kn6`*s9 zHu-=w{j8>IAeP|SWR(yHVhO1rmQWkS5*mP5LMsqU7@_GI5KCAAVhNvvWC?ivdj`l7 zZfT0zY?V+E^pvW#9*8C6f>=Up5KG7hv4p-LmM~q@3edNzmpves5cH;DoagJ`@<5i* zO4B_cy3h~A5*`MzgeO5PVJ3(rEC8{Dmq8cQaGOCarU>+%(tZSeuc)N_U6+dr6Sr8y zRReLj#vl&Y2E^eygE(9d5QiHC;vjQ1y#eBkKLT;a*FfjhXrWup3FFUUT4>Yh2emNTEA7exUIb3xRhsy$S zxW*t3*9yep?gViVpQZ(%AJpvDXxanfhCQk25{T2h>Bs)hfg`tB16KlZ;A$WaoB`s% z^+7yhHq+D!#PdN{5cm8+Af68%2Jw6_0mR%VHTk9kc|w>A;&FMUZhjl&S95wF#Ph*d zpe%J3xCUyVDDo}q*k1$mqsnNZwE_@(9tmOzV?a3I%j4hUK$Thh@2rV2#x)S__iVT9?*O9x-9fa!4~X^;0nz@6APzE1(_9ek ze*r}MH-oq^cYw@)|FIj$H4yV(C3_^uZBfi9~K&wyzEMG);T`JQEeB~96y+G`pF%2oTuV<1j$B8c|S1gZY; z`yUn>i#4qWaYMYRwf8~XBMyPMNlt^fNzQ|~Nv?pnNou`s9i-ZTepXW&t+mOZD?I)^ ztHq@tUeT-tT~#UDL7e+lO-7-ml?SoZ3RJ`+G(KF@);d{%<`81nGF2E^Qrnzn$rdfo-)8Zz!<5ZA{65HoynA6P5keh_!8 zi6ExT0x@tdh}&)jh%?>{;ym|&IL{*>&hr$A^ZX9PY5oLaf4`CB>)%^I&NF<6HP5Ob z&a)nf^K1lSa;~P9AkMQRh(&h?ah`p3+{+*?^h+R4v+Rf1|Cy2qWY?8JoM$G8^K1^{ zJnsQQf+E{UeC83;Dz_N~!{5 zK-6l2X!Y$NTHOvr-+F`S+h7n&o%jikf3$jmPFb!~-qtA}foSy?pfEM?uOJQ_yvL$) zAX;4wM62t9SVBWhoj`Qj_aKl~zo45}YTBU5ujw<8xl*(iyw@6}oTd~ItxgAVQMUro z>24r6EsuXafV8?lh*m!fqFpb7j;VF~Du^@L0^;010&z#(5BfvJod$77t+>zHQEP&D zbjbq!rs4*G%-6p~Ko0jkh{Ih4ak!*Ut^K$?h}ylHhJiTGFAamLF)m@y{2 z3d9L-@&P&HPeD8cAJudc#52PM5YK>~&#W^;B#38*I1qCyX{rk1nV~j_hxuIH+8N|W zF}VKg0c5S?b>LGva2AN?gI7SD=Qa@M^bv@2+6&^G4ud$QTKlaJ>}d@urIzi-AWr0< zB>DOmA3FzJqqa%#0joJeQw)f$F$b*@s(@H@F6cdvJY01G%~ZWi)U*P0P_=H<+F4DZ zpJV?!p_-rh9QSAxEdjBccR-xy4iM-0F^Ka#1mZkzfhMSdV-H!B1mY%1*YpO64sHjj z@_j}Tkb`^+;vheQILHkU2buhZ)%Bb&@q$B@>N{-F3=oIgqG{eyt98IJYv55J`c~#E zIWFcOYQzIMa0-Y6*8*|iks$7Dvp~buJU4^F)%N^Ex1Ix)R$7VUh7qBt5vYu!0#I2+ zBSGa9O+AkNKT_eF5Tg{G0F_r1dcrWG6}1L&x69YmU({{_k%e1BOuPbQjsekmmockUS=l%zXbFX#Un)_`a&iyVBrY>Lq z&H!@m?|?Y>A3&UY^w-whdw{qh7JyjHyC4>GNVi@Bv6ymatYVsjSjwp>qVw@M`M~66`f_V8o1e9e+Z4rnsLpOpFf~EF3=y#t-;*Y=@Dlqh0i>iTm z)XoBNkVYULjPpU;RBk`eOf~Qr5IuSVMAPSk=+-h2-C6~rTbsW1S$6p$a*!RGc7bTu zK@gAH-+}1X4G`VB1!8XKd5cPe=vFj{6RDwFn}U>Exc?8NU5|li*IJNy(*#sO+4T*m zgqo*u!SX0UQ$r93X$4|2?LlU8_N~YYT{WZ3oe=T_7&5 zy&w*9SkrM3-TD?pw{C&xR@v_?w_-rdP5KV|KQS31?Mef2CQWqU9Uv|)ABb+v1<|dO zAi8x;YpuSwv|b>_E!NrwP?Yl0R|w?NJE`d^=p$uUg^N~eJC(hc;nY8|Ir7lK&y zS`er7I*9gP2hCKu;XhbQG!_KUt34rfoS@05KW%~ zqUrNNebj#SJczl=G`#{c|NX}XAnPyG&4)oWJ>*Br^jHwhtPi5;owW9x)>donu-3i< z(eyt+^gO}$lZACbH2p5E4b?Oq!~&lOaVASaGPSAw@zKg@sD<0 zg-E+RKU;Q%foNAWh>I`*#6eOurGe;HHi&L@1ktUYAi7lmV(uV|e8zAf?HUW>Or}}Q z#!?XN+5_UAe;kyhR(0tsmRqSHe)4-8Pz^QDev(w&Bbo$=W4r<~KmU0xkam3t`b^n% z2t>QifoRud5Zx+u)p9Ei#Klz!M7PpFbgK@CGtSY}6hyb$UB&)Sy9yxEu2CS`HBP5Y z&@>4|w`PDilO?)!Gl*^#f#}v{5Z!A0i{(~l5H;TfEzXgmGHwNk#=WcQ5a=P*dKL7g z@+je&71t2NV%mZ@<4z#DIvPX=9|O_B`5>$}`TBPmkQS~2(ZWq2TIdI{!yTG-foS1D z5FPvuLHS-QxGj|0iuPEfN0kuPy@B3)@$u6t^Fu9 z<&o#QRMY1m zx^)snx2}PtTe$u!al^8!G>FONHN}HyR}~Pe&eE;zL3Hb35Z#&vqFY-*bn8=4vbv19 z49Zm=Mf?VjaQwj;u$n|gEkGP3AH-tr2GN<3pkr$3`9L#OH}gPrYYB*MeGH;opM&Vu z2@u`-21K{Y-o*INs&1;?G>odM!ls~9MfZTJDH;N*uIO=44Mhtj80R7+9U z->p~t3;r;S4r+|0pf43Y@u#Wb?_U5Z4!UIpjs{Wt2E;A)gVwqk9&^ThL7eey5NEs) z#2GIGamK4bobfRbU#eeI0pmJr|&>4^^*`w`y51V za|uh^4x*MA>M^I366*1p;#7#-it9j}(-$Dl=@f`_x&Y#weg$z($t6AJM$81&R`z$- z+E9>JY4fzU9F(p!-v(eEg@-_O6-9+v9j1Ursx5XGi0k7i5ZA{x5ZA|P5ZA|b5Z6cT zQXX@C^a62xOa*a$ECFTk^>0kL$H-Izw+Gcz^c*Nl(JP>AMRiJhjQWb2fEp-j4{E6B zEf8N+?$>cAb=*ZA*D}J2>jE*F<0bJhBOEM9-NP`DprOGT%3;P;wJ#CeQXsF{znRI_`hFC|4i{@}GpM~v-U;HWE&_2${Q_b}Sc=t}0ixCn#KZSPAg;2P zL0n~Df_z+Nw}4z`aaFBl)(*t;`=cN(vy~t&v$sK9W@kVg?wY0^sn)6xARf|R12L{) zHLG=)56I?>>XtYFMD0BgQ<7^~t#^aix&_4jcqfRvamSk0I=UCcwKWvPU3(sgi!eHk z$3z_e>X;Z~wAIuTM1u!v8m;LuO|O8s2*1+WIZc-}{jMpwmbKpMg3QmqY7ZQY{UFrn z58~1r3gXgx5X7Z70mP-Z2Xs+g2^N9qQMuYU;;WTX3Di+hJy0iVUXS_L6WW39RD0bb zug_!5R=5%3(~45kJw}R3=??0wXcVZ6qE(=-ioO7G?$`Q}KOe%=4gR($<)Kzs3 zh`G%)wE~%+|J(`4i|z+>^Ei-S`LP1TEBnnLUfCZ6@yh-?t>t7`T0V$zQ?<4jbXoQH zHmIkfFEVia<8}Wfh@_I49^+xvToZIoZR0K=whquV6~v;S2XS^wL42if3N%yo@-2u< z`38vgd+J%5F9N8xgi#H|4N(uo4Uq%lhG+@mhA0AY!Tk!lLv7i%SstUCqCuekYTyS! z7ZvT+bOv-mP3bBK1ZPeb z(*Tf<9S+vwa7~9wSi>FBbX-%Brn8#PYpRI)*>kd{YMRnCrQ`Ld8JMZ5zNQ>agEbA; zG+NVGP2)99&@@rg6iw4j!uYebI9JninigqVqG_3?mo=@{v|iIjO>b)2rfIt*zW-aO z#s6yBt!b~O{hAJGI-=>grXo#eHJ#UVQPZVRT>o%8UDe`sO}}e0N?LItn!+?iXo}Pn zqbWgCMNP>T;q#v@G}1JsYs%DAUsH~z+co8BYNe^2rcRpjHQl8M^T#h>Xt9^30!;%n z4c0VV(`Zd&HI3IaLDNJ{Q#4I4X(XHW&(>lzc0eAvdVm4F{#AhiO_utQE|nyECRsh>BJ8hf4Rj-kuV4e3?3(9ESu{y@O|rWF z`<{7fs*a3xd=o;ROu3Qo1YK0t^aF9@EYjL0O&K6&$Wsn8B0yYUi6EXulR>|tzfi*q z;%ZY%K%Frk!Aa1(e42&kZE9cv;^mWE>b!i~0^%Af1aYOrf;e175Qj?z{icSi3*vBV zL44a;dUQjL@uNjP12?hEf$>Vwobg>CUe(mwEKLeh>sFdXk{)s3 zfA)xR|JEblzcEQVlZ;|Y{e?$Kf8o)4C^yFVS02fWu)kYK5td1|g~WfHAU!IrJt9eu zIPgDv#5n2EDDGna`-M~iC9oK|E0$Ho6klAHZwUe4L{vy@w2y0BE~mZ!-m3q>siePf z>PJwNP3j6NG>Fj^oRrhvqy}hN0@(%@qf3=u^73_WvF8QZkKC}*5ZfrDwc1U zze2eK@;$A8b*%aycEH=!aB6X>vZQZ((@px;L}}7Dl201Pf&bY!#{K)n^)J?zeCIbA z)wK8vlX&~(5wr$8I`O|*T!y^P<8?t55U&g5m2qqBk@?A24I%QzNheS@Jn=`N@O8Gb z>p2kjlJ`I?>lCP!Y7Ih3B;1=e@B84krg=@&2E_CIBoHSu2gD1xmGbzcx=dY-)gaZeK$oqsjYkJ0ra)%Ev!o@G%2=a~v( z(OwXXz5~R0J`LhLUjT8QuY;H&C&vsqxj4S_A&**|=V=h<`FFe1-D*x=lohyy%(r$Y z+e-NwJ^k~UACqU*e|P5ZQOrWQJMj*d+?~2BkL2z|l6w;e{^z|(#o?V_r2OBW`Tx$N zK7Zj6Z%jG&rVF_9_AgBOCy)9S^Jq2v;Bays6)2A`YVu&hy!(YWf_&!OWbR7;Rt zt)Ygz*>;!G?$&XAHOZG@%pC*bk>m-`-6~^>)@JB9`TD7&Vf29gJAoWTE-4OjLS5L$6*21YqJQg3@AUe#^a2O4d z;=}mZ)M$BMDUfkzZ6u2uXo!(_rUDJS&~PbKWG2K`jh6Se0xiw4#frqh1oS0ujs+Ut zLc@OXf^694XlRyh7=_}1Y*_7R*n@_RqGTc(7C9Pv;n2EFG?fk09Sz^1VXhb>8^${t zrr?A-QLK>-gB=YKxIh>!PRWKIj)sY7C=js~(2(P3D3xg#d7`6iNOLs2h=xotNj4-n z8q(`Y-~F;7%+c@`8Y0CHvf(=J$_BjZA#co!)Ff;vd0RKo5SuOC9hQWQ-HwKNXxJ~7 z%Z6=^hN$||-NUl0)sBV@XxJ#KR7A!iM?+cz>F(XKVY;JXD;nmCSruce_+oIX8tiE7 z3T3oInGPiwgLsT&`H~rrwNTEXlYp`xN)Ja?6!!B1hmsE^70*D{SRa0j#9<&H2^rMX zuDk`Moui|lq2xQ1S~(aDw^RcCw1F}{&#nxClH%o>-jVeMluHif4=CB4 z?OD~ak&ifHhC(UwIiwAcMt8BNeGX-}BPIrGW4}YW6Uwx%_N+&sY;(lC4W-bb+<>w) zAKO1*Q6d)5YDZ8PC>tHhBq(3ux;2pXDwIWzm~Wsgb0}4t;a|k#25}&(J(TGo*#3b- z!fZ%$9clZZWZY#>`vJ;$M@&_$@re%QZYaZX@(c_%7Rq2p%ql3Op~%ZZRRXpSq$lsO zr+Kg+^l+p#hf?5Bd{AELZqHf`rJW<@Jd}KgQlo`o?COCmpJ5$RxV^)NUI$|^Py~XC_AB~46+Y)7Rn+=Oe~Ig%N$B8C^>`eS-qi5*D-4RDUjxB zNfxvQN>^NK26mwxP{uoAE9F!h` zAjG@}rNE(_gYw*PdsaA(?(G~gZK32ll*v#Ij0j|5{8f;096{%x7${+mto2YL z9m*LfJI30x44jgVJ#1HeX^`ZHL?Kf>F*FqU2`>RA*_C-dNV^?ryP)iMC^w-zGr^u#6=%C`j+lW^3LVO;Q1(1-&)NfJwIe26 zp8qyFq_+6QhA^lBs|P`;HOa0_g)-fdwH3--hw=@Syvg>gD4aFr>!yIO-MiuZH_;)z zfS~)Iw5RQcGT0Fli4*B)hjI^;DO2oOW1#eK#H@f);7~q;;(K+fJ?%22c8;LhcVZWE zDE**pf6AUU9ZHTPW)GA+hZ2F)_>F1yth!L5d^7D*Pe^Hwv{g_t9m>y8y3Dd?CE{HF zz|(f6F_Z*H)<`JH4rMu%>CZT}^hc1cd+b~2CKSVwmVqmTwR7x)b%k=?ku?R%C5PgN z^6gxE)&VHDp2hYLEVQeTjyTdXaA8s8PzFOudCuO^EGWAjF*~8`cPO`@AKLKwi(K5N6bYi8y!k2E>Ip2_N=B*7CB-DL0Kjh_-`I|=0JLU zkv(lQl!14|EnH?#^Fax7q-}>1=}>-!vVOTeD;Ae<=gmzj zk8_669?B($@)(paSDIOJ`(trHI^qcW1WJ)Z`3;Kks(r8ueX;T#F?T@O?@*>gslD2s z^)i%gI>rYw4nZo^l2n2U@XqgAds+=B=*M>S?geF|LzxT3v)-Py4hq(^E$c9pWdTKw z@9Bp_;|6Lfx1bDt)2^I>QfG@@seC^c?ACyS@%unZ z^amvT@&S|(coZ0Z1(fN5szE!U%ylT|p{#t%o)z97`+_5;Ig}oD#b-PWDSW#}kejDD50dEnJB2e$U=d7btZL?aDAH$&M`FVn}HYX&033AK25rgA(b8sXh=p zl|$(RrNf8ztVf~T{*hgI9!lL^cI8taq|G1OrE+*_kh9mWbc8bPQ@b)8N?4G6S*?T; z=}?Y9S@W4aD|iqp#-j?J!JbO^ieCi%{oTmOm~OhoIvg$@Bu-|;R!ur@wj{$hbdN{9 z!RnilA}+rhnN;Ip>qi~16-wGc4jimvzaK1mWumj;Lzr4s$DTKpR1tNeW>Sr2Lm7~& z1Li@w$I;n=q2d@ii|IX#vDI|!*xL6hE;%|WIU4Usd~Wx(k9YyebylH4KD;{ldnn@_q1EtuLO#+}(MfBpA9YHt zhZsuB=WN{hf8KlUER`FOwEo>^$i`v9x`;pbW_QM!j%k2qc*4ke9ef7!af$3#?v*wpqx zkFcS>ZkPgP+PC(BRzW#m!amT~P%b%?B=I=9OZv~F?5=_C?o(4~D9W9RNJ{GT7y}yW zfR9Y2k@(=rGD*I~2@GhY0|rBR{(`-r=}^WyhJP2zM2B(-O64EyS&@$$MgcmPo1L4! zH57TQ2q@#ltcJKXe-I7wk)w;KDLz0$`;||yp|NhLfYa5NKiS90f^yw)-0KcS4iXsW zQBkK6>^dwP@VHHPmoZTZMiXUMhu5qhbwJuAY>dnH@mfJy_i4x5-qRssW?-TR-Z64x&j8cBPk|WL&Op_zB8ySL~IPo`O@U$3D*-C{;>;K+8wKo%K+{9LizO6mc>K6Ud&bVvT0HjG3mAN5{gRVnCh_m;`11b^C}f zK!IQz@gte#sPl)X#KguJFn1dJYp(m7X(}y5)bVyn(ee)g%SQ_xaG&_TF&tYmoeeE@ z!x1R2{ARDD#09Hr3Nxyn}B+ zYv+if+hePiI%kH42~UlLq*5t!nOREy7%%eIghixBs9s(!X&C+4X!|Pvc&L0t;~DH3 z8K%bfJS65f#TX0bifv6}+XStgyD&GlYOmMKSVPb7BbRdArTn55!@L9vou`IWU$E!n zQDL4)u9sLfHe0t?ORVKQLwH7(tt8gZ42$#icC}A%DT`go7MHTirF`R3f}T~S;fqyE ztSHkA`$|h!!<{Zg{-IDltmLIGwA}y=$l_WsHIC8>{6a~DH~kM5tkD3 zT=B7LKbKlu>g);{Cf4P}w)HJ^HLP(dhg`~UE~Vyz;zPD`DFrTNp3f!ia498ldxj5d zJ5_Kg87`%ROBvu&{3t4sOXrIdNT_+V)+rIS=RzBT+HSI|tC z@`_8@;!?hFDZjXsvWsPjYJ6*W`R6G4uoSOL>FiR5xRe<#WxY$;MMb2ximl|k>1s}X zp?E2|E@hxgk$*MPIf2zKFClQii&e87^ft6mxuQ z(d~2vU2-W&FBKoYol6<&Ql51wTcqMseObdFa|Qk3QtB)#K73D?GTEiP=~7N9MU8I_ z|EDXc+H%8uSTQYK%0QPg-KDH^DMzTt@vW|Jx`L{%C_a39monO=EO05SU5ejSFupbX zZdcIvE+ukh@q)5lN>`UM#HBoCDS=>MN>8o$tl3Y| zlBKM6DSKVYC6|);O7Y?IT*?Ch#b;jgJ{OQoWw%SY?ov`;Ek0OBmom+l>H-W9aNrF`#FZn~7D zb;S$vx|DmMh&MaM<^_*hXZR-_kL~Ocb56vT_IK?P`*M9zG{qC*AN^$P@NpHw+x8nV z@UFoR4eU3d?x>99Q9HEvX2q$Jcx@Rzv}do;WRg?{zQ#QTFn;&${gg_MY9 z3I5af$CV4SM??&Z%ZacH1^Rdt>*In;g{n#$h~&IV3I1od#Puv?_F8XwVuHWa`*A)?nD;Iw z^YZSv718#H{>S6i+`&$A#Qy7H3I5s{@%1~YkOty(`|9!jM<0tX_{GeL%7~BmUmKmU zGv5@t^$d&mANe35D=rX`;IDZkVNROWYvJC~@moGmY?)vQMYY1?{n?3$bCT_yoNS!< znmHY_lC>=p*Os(}tQy@hFD-tN zge-kpyXA>^R=_jK-)?!ugG*IS4RWTzKRherS;e26m%M+4ISw4a#yOMx;0RMt-7L;e znYK8;DxRtS#rf5CzGzh?*T>^OR_lA8UAWP{`iQ}H;mJ+apC71%hSM++JZs{a=1)ne z^|{rRT6>XOYt^r7X3Fh1t>A1ef5F+>%UZJT26B_d`75S*%g!{zuz2GAZ8mvBDqA7> zGrA_k=VaH%T2D?c2=C;HQu|Y8l3_e3-Z-9{5Lp`Ua^XliJ(@e(X3=eHtwExoYgR~5 zr?O(==B%0}%HntUD~ROH-pVCfA!@pKqjjkmu`V~e+`{~<5b@kshD7Gea8dj9lkqU|@{=`_r8-LO$R4PciS$Itd|F z{=9Wd9GIRGC!#K9Ra$t?TQa=lty{M~o?2LLL7}+3Ai6?XIgMSdj|@fmC_zlRn3b_G zvSp0;?n`fFPgzmwu=nAh!@rA3hrM-zR{t(G9`<_0t!KKHEZIGT8J7-qNEDa0=;}>1e$cU-;)x^PisE3m^dzz6h&LfR?EJeoZ{3__rd_Wv{ zpiZ>t)2d^Hm~hPdW>AqK4s6Yh7wy0DCL+K8SKid1Wrq0Rt@Jpt^eb*xoqvqWnR60>(;#5Jn4-OedX6%w=VP)Cr)}>%0JwSxbrg*8d9wVeoz~0XRB&q#?zgPN=U_Q zn1PL~8lSuvAx_6-C5TR^yro6=TIuD*sKl&D4lwbQH$LdYYvTPMyj8?8zc((b=={uU zw{Cr(*=}6;)1CL9fBc#_b;>)iVnfcV4tDrrvnnt+t0lh$X4OVC``TN->6`Xy+qtTh z3aXXgWVO3mFOq9q7yZoF@b{At(Kj(GU`KgT==YWgx_MQW+$d#KM%-iD9T^x&?3C$@KIw18ZG+uAExf^ih|y?Bg6w& zyiLTZ3+bgq?W^91$d=+WItf-_&`Nf-J59mBXCC74Pv%zuS?dN6Yuy53t=mDYbvKBm?gz2d zuRwj(aDRXb6x9o|N|67+lmqtwvA@0`_BQ~e-bjp5fB1tP%8$h|D+J}CLlg)y3j~=3 zf?B9nd4e?y1epbb*xwaUKUJ3e5LuQg|21HN(iY0!jpJ5a4UxvZ17g+tK`iD3C=V&2 z##s=H`3b~geg(Bqt$%`8OgN6uET%k&#Z&^Zgl3@oWeND*93Tgt0ph?*K$f;+(E)Ex4pLyEqU_s03mORY5GFHi#uO1F?keB>6cd@{^~SJO;#M`FT@Jo(N*H z{6HxtF9*??*FbqFHPqMwqBDgcIOng1Ay%0?|u9hy!m2$?G}%txB!!*L2hetgD(YgGMR}#2c9Zn`}nF2^$<=^&1Omwt8_}I4In* zFdW30M1g2wB@ivF4x)qIKs;y{fat<_5OW^~(S?~>n3pGJ>;eM?R)!GCQU6=-<3v&N6?m`JMMpb<6po)=o@|ai=#QIY} zL-33-vbB~28mhE5_{xK95GWVVP(!|-JPOYkHE&KN0Y8sc8on5<@C=o+#_!-tRCDa8 zNNRU#t+OK8VJ9&uH!)t+2uqLi+z=^svr~hb1T9>gUNvZZkce!MRaHbBiVKS_VOV!+ zng=5bk4VvPF|H6sm(GssFF)QX!6Ivq0DD5LDm@IuE5Po`INn9Eg$~F}zURErN$_|OXSML7mVdXq3 z-d)$VN}|->a5Wx65BQCc6jAoRsPc`x(qQ$llH0kI-Y#Xb*zy;K= zy2M6`X0Tb)WGxdL7u-U<#$YLw&bNxn35cjOKd^|m&xAT}nXmuZ@n>Fh$j zGHl<<)yZFn1JBXKM# zy_Fap)+|ayR|Zu+o7G)x+~?gb`UaH`6E7tsHW!hnYvqccKJ}Ir8(;U95ceI;EiX=` zcMKP!AMlhE8!LOOic1$_GsU$g+3^B*!%B%$Q#>WaviY7^k(-h}Ry?&bznsXg9ad5d zsp7?&ySGk^IGmC`L|kuygC13 z)byCZ4b}vIaJBT$YnlRX^(OeQbWGpX$`n5M0Qcb!&rMH>36ZzmMSf4*FOPX8{f@WH z+sGpF2qLERsdIapE#ml7b?!=2T{Prf=uWTKnbzs`x_D;bnd$HJdcE)Z*b?q2o7EwY z34YwhjvZiYJGd$9Hdfq_H^I|(W!2}6^oIU^-)2p1WR9fn-3L|4o>18?EN+@Tppq%z z-HdpDh5NG4J!}f{X8yE_2ea|4k7oma#e)qGPFHhlm?MftC&W)HeP1IybMS2JFMZ!_ zmmgE9jiz}H--c%sJa6}V4mUk>%Iy(IWN(vk?_mm^NZx3p`um*~&j+U+XI$nq8@PI3AzZ=IDcg=)`GbgWKZS4$t=f zvB4eU-Z!Jw8x^na=+I@j6`fEc(O*8JV_t7lkZ-C?8#TKlo}KXQ>>o9|%awNn(eeH# zI&|$+)fAMy=Z1G3P|+0NeS&|`jIJ}XxU~xEl}YeNZ137i4=C*(o|a!|b)o!k*D-&E r*BV5=eNgg0`Rz;Dx)?q&e`Foo&(}8O53OP*Ov2rJ|Kt1eE4BSUnp60j